Responsive image
博碩士論文 etd-0227115-164915 詳細資訊
Title page for etd-0227115-164915
論文名稱
Title
具有額外本體區之新型無電容式單電晶體動態隨機存取記憶體之設計與分析
Fabrication and Characterization of Novel Capacitor-less One-Transistor Dynamic Random Access Memories with Additional Body Regions
系所名稱
Department
畢業學年期
Year, semester
語文別
Language
學位類別
Degree
頁數
Number of pages
147
研究生
Author
指導教授
Advisor
召集委員
Convenor
口試委員
Advisory Committee
口試日期
Date of Exam
2015-03-26
繳交日期
Date of Submission
2015-03-31
關鍵字
Keywords
額外溝槽式本體、環繞式閘極電晶體、扭結效應、浮體效應、自我加熱效應、無接面通道、自我對齊製程、無電容式單電晶體動態隨機存取記憶體
surrounding-gate transistor, trenched body, self-heating effect, 1T-DRAM, self-alignment, electron-bridge channel, float-body effect, kink effect
統計
Statistics
本論文已被瀏覽 5765 次,被下載 47
The thesis/dissertation has been browsed 5765 times, has been downloaded 47 times.
中文摘要
本論文主要是提出和探討具有新型額外本體區之無電容式動態隨機存取記憶體之電性特性與記憶體性能表現。有鑑於傳統無電容式動態隨機存取記憶體在面對微縮時的缺點,例如電荷儲存區太小、複雜且無法自我對齊的製作過程、漏電流太大、自我加熱效應太嚴重和字元線/位元線的干擾太明顯,所以我們提出多種具有額外本體結構之無電容式動態隨機存取記憶體,其中兩種元件不但保有平面式溝槽式架構之無電容式動態隨機存取記憶體的優點,像是在同樣元件面積下增加額外的電荷儲存區,和延續將元件操作區和儲存區分隔的概念,還可以有利用額外本體區與源汲極相對位置的改變讓元件具有多功能的特性;,其結構特徵和電性如下: 一、具有額外溝槽式本體架構之垂直式無電容式動態隨機存取記憶體;此結構改良自傳統具有溝槽式架構之平面式無電容式動態隨機存取記憶體,其垂直式通道架構可以有效降低短通道效應。根據模擬結果,我們發現額外溝槽本體不僅能利用自我對齊製程來製作,更因為額外溝槽本體能提供更多的電荷儲存區域以達到扭結效應,且不會讓電洞立即複合,藉此來提升資料保存時間。在記憶體特性表現上,此架構並沒有因為加深的溝槽區而需要更多的寫入時間。因為此架構其汲極電場的延伸方向和溝槽一致,對於資料的寫入速度和傳統平面式溝槽元件相比可以有大幅度的提升,讓元件可以在6奈秒以內完成寫入操作。在最佳化溝槽深度後,元件的扭結效應也得到了一個顯著的提升並加大可程式規劃窗(programming window),最後在元件的資料保存時間(retention time)表現上和傳統垂直式元件相比進步了794倍。另外,此結構可以因應源汲極與額外溝槽式本體的相對位置來決定要應用為高性能元件或是無電容式動態隨機存取記憶體。當此架構應用為高性能元件時,浮體效應將會被抑制,也會有較低的汲極引致能障穿隧和次臨界擺幅特性。這樣擁有多功能特性的元件在未來將具有很大的潛力可以應用在記憶體處理器上,進一步提升記憶體處理器的集積密度。 二、具有垂直式奈米柱通道架構和不同寬度之額外溝槽式本體架構之無電容式動態隨機存取記憶體;此結構係利用一自我對齊製程結合垂直式奈米柱本體和溝槽式額外本體架構在同一元件中。這個元件可以進一步改善傳統無電容式動態隨機存取記憶體面積的微縮問題,利用現有CMOS技術達到4 F2的元件面積。根據模擬結果,本架構可以利用較低的寫入偏壓來進入寫入的動作,並在1.17奈秒完成操作。接著,可以藉由加大溝槽寬度來提升感應電流窗的大小。藉著使用垂直式奈米柱本體和溝槽式額外本體架構在同一元件中,載子的復合速率可以被降低,讓本架構得到接近0.65秒的資料保存時間,和傳統相比進步了約2 × 105 倍。還有,當面對來自共享字元線/位元線的干擾時,本架構資料保存時間特性的衰退幅度和傳統元件的表現相比進步了33%。另外,當元件操作在高溫時,因為有額外溝槽本體幫助散熱,使元件在感應電流窗部分僅有34.85%的衰退,增加元件熱穩定性的表現。 三、具有電流橋通道之無電容式動態隨機存取記憶體;我們提出並製作利用類似無接面通道與接面負重疊區域的無電容式動態隨機存取記憶體。此架構之製作過程完全匹配現在CMOS技術。在寫入操作時利用空乏區排斥正電荷之概念將正電荷儲存在靠近汲極端之接面負重疊區域中,這讓元件在室溫操作時達到4秒左右的資料保存時間,這樣的表現和國際半導體藍圖的規格相比進步了62.5倍。另外,因為無接面式通道架構的元件其載子移動率對溫度的變化和傳統元件相比有較低的依賴性。所以當元件操作在85℃時,大部分傳統無電容式動態隨機存取記憶體僅可保有1%以下的資料保存時間特性,本架構卻可以保有26%的資料保存時間特性。
Abstract
This thesis is mainly proposed and discussed the characteristics of novel capacitor-less one-transistor dynamic random access transistor (1T-DRAM) with additional pseudo-neutral body region. Because of the shortcoming of the scaled conventional 1T-DRAM, like reduced charge storage region, complex and non-self-aligned fabrication process, leakage current, self-heating effects, and line disturbance issues etc.. Thus, we propose three kinds of novel 1T-DRAM with additional pseudo-neutral body region and maintain the advantages of the trenched body in the planar device in the following, such as the extra charge storage region without additional area cost, and the separated device operation region and charge storage region for reducing the off-state leakage current and improving the transient characteristics, indicating to improve the critical issues of conventional 1T-DRAM mentioned above. 1. We propose the novel vertical silicon-on-insulator (VSOI)-based 1T-DRAM with trenched body. This proposed device is demonstrated to enhance the kink effect, which ensures the floating body effects, comparing with the conventional device. As for the 1T-DRAM memory characteristics, the proposed device receive a wide programming window and long retention time along with a high-speed “1” and “0” state programming operation. Moreover, the multi-functional behavior allows the device to serve in process-in-memory architecture with highly scalability. 2. We propose a one-transistor dynamic random access memory (1T-DRAM) based on a novel surrounding-gate transistor with wide trenched body (WT-SGT). This 1T-DRAM exhibits favorable transient performance after word/bit line disturbance, which is verified by using Sentaurus TCAD 12.0. The proposed memory cell can be fabricated with a feature area of 4 F2 and with processes that are fully compatible with conventional CMOS technology. This proposed structure also improves the transient performance with good thermal stability and disturbance immunity. 3. Finally, we propose a new 1T-DRAM with an electron-bridge channel structure. This structure built upon bulk substrate can lower the fabrication cost. The wide underlap region enlarge the charge storage region. Also, this region is isolated by the gate/drain depletion region during the programming and read “1” operations. This allows the device to achieve a 4-second-long retention time at room temperature. The carrier mobility of the electron-bridge 1T-DRAM also exhibits reduced dependence on temperature, so that the programming window remains viable at high temperature, while also maintaining 26% of the retention performance when operated at 358 K.
目次 Table of Contents
Contents
Thesis Verification Letter……………………………………………….i
Abstract (in Chinese)…………………………………………...………ii
Abstract (in English)…………………………………………………...iv
Contents………………….……………………………………………vi
Figure Captions…………………………………………………...........x
Table Captions…………………………………………………..........xix

Chapter 1 Introduction 1
1.1 Background 1
1.2 Floating-Body Mechanism in SOI MOSFETs 3
1.2.1 Kink Effect 3
1.2.2 Hysteresis and Latch 4
1.2.3 Parasitic Bipolar Transistor Effect 4
1.2.4 Transient and History Effect 4
1.3 The Capacitor-less DRAM Trend 5
1.3.1 CDRAM Basics 5
1.3.1.1 Program, Erase, and Read Operation 5
1.3.2 Capacitor-less One-Transistor DRAM 6
1.3.2.1 Operation Principle 6
1.3.2.1.1 Program by Impact Ionization 6
1.3.2.1.2 Program by Band-to-Band Tunneling 7
1.3.2.1.3 Program by Bipolar Effect 7
1.3.2.1.4 Erase Method 8
1.3.3 A-RAM and A2RAM 8
1.3.4 Multiple Gates Capacitor-less DRAM 9
1.3.4.1 Double-gate FinFET 9
1.3.4.2 Surrounding-gate devices 10
1.4 Overview of the Dissertation 10
Chapter 2 Capacitor-less vertical SOI-based one-transistor DRAM using trench-body structure 22
2.1 Introduction 22
2.2 Device Fabrication and Simulation Condition 23
2.3 Electrical and Transient Characteristics 25
2.4 Conclusion 29
Chapter 3 Multi-function Behavior of a Vertical SOI-based MOSFET with Trench Body Structure and New Erase Mechanism for Use in 1T-DRAM 44
3.1 Introduction 44
3.2 Device Fabrication and Simulation Condition 46
3.3 Electrical and Transient Characteristics 48
3.4 Thermal Analysis 51
3.5 Conclusion 53
Chapter 4 Transient and Thermal Analysis of the Effect of 4 F2 Surrounding Gate 1T-DRAM with Wide Trenched Body on Disturbance Immunity 68
4.1 Introduction 68
4.2 Design Fabrication and Simulation Condition 69
4.3 Electrical and Transient Characteristics 70
4.4 Thermal Analysis 72
4.5 Disturbance Analysis 74
4.6 Conclusion 76
Chapter 5 Enhanced Retention Time and Thermal Stability of a New Electron Bridge Channel 1T-DRAM Employing Underlap Region Charge Storage 97
5.1 Introduction 97
5.2 Device Fabrication 98
5.3 Electrical and Transient Characteristics 99
5.4 Conclusion 101
Chapter 6 Conclusion and Future Work 110
6.1 Conclusion 110
6.2 Future work 112
Reference 114
Vita........... 123
Publication List 124
參考文獻 References
[1] B.J. Jasionowski, M.K. Lay, and M. Margala, “A Processor-in-Memory Architecture for Multimedia Compression,” IEEE Trans. Very Large Scale Int. Sys., vol. 15, pp. 478-483, 2007.
[2] Q. Wu, and T. Zhang, “Design Techniques to Facilitate Processor Power Delivery in 3-D Processor-DRAM Integrated Systems,” IEEE Trans. Very Large Scale Int. Sys., vol. 19, pp. 1655-1666, 2011.
[3] T. Yamauchi, L. Hammond, and K. Olukotun, “The Hierarchical Multi-Bank DRAM: A High-Performance Architecture for Memory Integrated with Processors,” in Proc. Seventeenth Conf. on Advanced Research in VLSI, pp. 303-319, 1997.
[4] S. Madden, “From Databases to Big Data,” IEEE Internet Computing, pp. 4-6, 2012.
[5] Y. Demchenko, C.D. Laat, and P. Membrey, “Defining Architecture Components of the Big Data Ecosystem,” in Proc. Int. Conf. on Collaboration Technologies and Systems, May 2014, pp.104-112.
[6] H. Hu, Y. Wen, T.-S. Chua, and X. Li, “Toward Scalable Systems for Big Data Analytics: A Technology Tutorial,” IEEE Access, Jun. 2014, pp. 652-687.
[7] M. Bawedin, S. Cristoloveanu, D. Flandre, “A Capacitorless 1T-DRAM on SOI Based on Dynamic Coupling and Double-Gate Operation,” IEEE Electron Device Lett., vol. 29, no. 7, pp. 795-798, Jul. 2008.
[8] G. Giusi, M. Ashraful, F. Crupi, S. Pierro, “Bipolar Mode Operation and Scalability of Double-Gate Capacitorless 1T-DRAM Cells,” IEEE Trans. Electron Devices, vol. 57, no. 8, pp.1743-1750, Aug. 2010.
[9] G. Giusi, and G. Iannaccone, “Junction Engineering of 1T-DRAMs,” IEEE Electron Device Lett., vol. 34, no. 3, pp. 408-410, March 2013.
[10] S. Okhonin, M. Nagoga, J. M. Sallese, a nd P. Fazan, “A SOI Capacitor-less 1T-DRAM concept,” in Proc. IEEE Int. SOI Conf., Feb. 2001, pp. 153–154.
[11] E. Yoshida, and T. Tanaka , “A Design of a Capacitorless IT-DRAM Cell Using Gate-induced Drain Leakage (GIDL) Current for low-power and high-speed Embedded Memory,” in Proc. IEEE IEDM, Dec. 2003, pp. 1-4.
[12] K.-W. Song, H. Jeong, J.-W. Lee, S. I. Hong, N.-K. Tak, Y.-T. Kim, Y. L. Choi, H. S. Joo, S. H. Kim, H. J. Song, Y. C. Oh, W.-S. Kim, Y.-T. Lee, K. Oh, C. Kim, “55 nm Capacitorless 1T DRAM Cell Transistor with Non-Overlap Structure,” in Proc. IEEE IEDM, Dec. 2008, pp. 1-4.
[13] N. Rodriguez, F. Gamiz, and S. Cristoloveanu, “A-RAM Memory Cell: Concept and Operation,” IEEE Trans. Electron Devices, vol. 31, no. 9, pp. 972-974, Sep. 2010.
[14] N. Rodriguez, S. Cristoloveanu, and F. Gamiz, “Novel Capacitorless 1T-DRAM Cell for 22-nm Node Compatible With Bulk and SOI Substrates,” IEEE Trans. Electron Devices, vol. 58, no. 8, pp. 2371-2377, Aug. 2011.
[15] C.-W. Cao, S.-G. Zang, X. Lin, Q.-Q. Sun, C. Xing, P.-F. Wang, and D. W. Zhang, “A Novel 1T-1D DRAM Cell for Embedded Application,” IEEE Trans. Electron Devices, vol. 59, no. 5, pp. 1304-1310, May. 2012.
[16] P.-F. Wang, X. Lin, L. Liu, Q.-Q. Sun, P. Zhou, X.-Y. Liu, W. Liu, Y. Gong, and D. W. Zhang, “A Semi-Floating Gate Transistor for Low-Voltage Ultrafast Memory and Sensing Operation,” Science, vol. 341, no. 6146, pp. 640-643, Aug. 2013.
[17] E. Yoshida and T. Tanaka, “A Capacitorless 1T-DRAM Technology Using Gate-Induced Drain-Leakage (GIDL) Current for Low-Power and High-Speed Embedded Memory,” IEEE Trans. Electron Devices, vol. 53, no. 4, pp. 692-697, Apr. 2006.
[18] J.-W. Han, S.-W. Ryu, S.-J. Choi, and Y.-K. Choi, “Gate-Induced Drain-Leakage (GIDL) Programming Method for Soft-Programming-Free Operation in Unified RAM (URAM),” IEEE Electron Device Lett., vol. 30, no. 2, pp. 189-191, Feb. 2009.
[19] J.-W. Han, D.-I. Moon, and Y.-K. Choi, “Parasitic BJT Read Method for High-Performance Capacitorless 1T-DRAM Mode in Unified RAM,” IEEE Electron Device Lett., vol. 30, no.10, pp. 1108-1110, Oct. 2010
[20] D.-I. Moon, S.-J. Choi, J.-W. Han, S. Kim, and Y.-K. Choi, “Fin-Width Dependence of BJT-Based 1T-DRAM Implemented on FinFET,” IEEE Electron Device Lett., vol. 31, no.9, pp. 909-911, Sep. 2010.
[21] J.-T. Lin, K.-D. Huang, and B.-T Jheng, “Performances of a Capacitorless 1T-DRAM Using Polycrystalline Silicon Thin-Film Transistors With Trenched Body,” IEEE Electron Device Lett., vol. 29, no. 11, pp. 1222-1225, Nov. 2008.
[22] J.-T. Lin, and K.-D. Huang, “A High-Performance Polysilicon Thin-Film Transistor Built on a Trenched Body,” IEEE Trans. Electron Devices, vol. 55, no. 9, pp. 2422, Sep 2008.
[23] H. Jeong, K.-W. Song, I.H. Park, T.-H. Kim, Y.S. Lee, S.-G. Kim, J. Seo, K. Cho, K. Lee, H. Shin, J.D. Lee, and B.-G. Park, “A New Capacitorless 1T DRAM Cell: Surrounding Gate MOSFET With Vertical Channel (SGVC Cell),” IEEE Trans. Electron Devices, vol. 6, no. 3, pp. 352-357, May 2007.
[24] N.Z. Butt and M.A. Alam, “Scaling Limits of Double-Gate and Surround-Gate Z-RAM Cells,” IEEE Trans. Electron Devices, vol. 54, no. 9, pp. 2255-2262, Sep. 2007.
[25] D.-I. Moon, J.-Y. Kim, J.-B. Moon, D.-O. Kim, and Y.-K. Choi, “Evolution of Unified-RAM: 1T-DRAM and BE-SONOS Built on a Highly Scaled Vertical Channel,” IEEE Trans. Electron Devices, vol. 61, no. 1, pp. 60-65, Jan. 2014.
[26] S. Lee, J. S. Shin, J. Jang, H. Bae, D. Yun, J. Lee, D. H. Kim, and D. M. Kim, “A Novel Capacitorless DRAM Cell Using Superlattice Bandgap-Engineered (SBE) Structure With 30-nm Channel Length,” IEEE Trans. Nanotechnology, vol.10, no. 5, pp. 1023-1030, Sep. 2011.
[27] J.-Y. Choi, and J.G. Fossum, “Analysis and Control of Floating-Body Bipolar Effects in Fully Depleted Submicrometer SOI MOSFET,” IEEE Trans. Electron Devices, vol. 38, no. 6, pp. 818-821, Jun. 1991.
[28] I.M. Hafez, G. Ghibaudo, and F. Balestra, “Analysis of the Kink Effect in MOS Transistor,” IEEE Trans. Electron Devices, vol. 37, no. 3, pp. 1384-1391, Mar. 1990.
[29] F. Balestra, J. Jomaah, G. Ghibaudo, O. Faynot, A. J. Auberton-Herve, and B. Giffard, “Analysis of the Latch and Breakdown Phenomena in N and P Channel Thin Film SOI MOSFET’s as a Function of Temperature,” IEEE Trans. Electron Devices, vol. 41, no. 1, pp. 109-112, Jan. 1994.
[30] M. Yoshimi, M. Takahashi, T. Wada, K. Kato, S. Kambayashi, M. Kemmochi, and K. Natori,“Analysis of the Drain Breakdown Mechanism in Ultra-Thin-Film SOI MOSFET’s,” IEEE Trans. Electron Devices, vol. 37, no. 9, pp. 2015-2021, Sep. 1990.
[31] H.–J. Wann, and C. Hu, ”A Capacitorless DRAM cell on SOI substrate,” in IEDM Tech. Dig., 1993, pp. 635-638.
[32] T. Tanaka, E. Yoshida, and T. Miyashita, “Scalability study on a capacitorless 1T-DRAM: from single-gate PD-SOI to double-gate FinDRAM,” in IEDM Tech. Dig., 2004, pp. 919-922.
[33] T. Shino, T. Ohsawa, T. Higashi, K. Fujita, N. Kusunoki, Y. Minami, M. Morikado, H. Nakajima, K. Inoh, T. Hamamoto, and A. Nitayama, “Operation voltage dependence of memory cell characteristics in fully depleted floating-body cell,” IEEE Trans. Electron Devices, vol. 52, no. 10, pp. 2220-2226, Oct. 2005.
[34] S.-W. Ryu, J.-W. Han, C.-J. Kim, and Y.-K. Choi, “Investigation of isolation-dielectric effects of PDSOI FinFET on capacitorless 1T-DRAM,” IEEE Trans. Electron Devices, vol. 56, no. 12, pp. 3232-3235, Dec. 2009.
[35] M.G. Ertosun, H. Cho, P. Kapur, and K.C. Saraswa, “A Nanoscale Vertical Double-Gate Single-Transistor Capacitorless DRAM,” IEEE Electron Device Lett., vol. 29, no. 6, pp. 615-617, May 2008.
[36] S. Eminente, S. Cristoloveanu, R. Clerc, A. Ohata, and G. Ghibaubo, “Ultra-thin fully-depleted SOI MOSFETs: Special charge properties and coupling effects,” Solid State Electron., vol. 51, no. 2, pp. 239–244, Feb. 2007.
[37] U. Avci, I. Ban, D. Kenche, and P. Chang, “Floating body cell (FBC) memory for 16-nm technology with low variation on thin silicon and 10-nm BOX,” in Proc. IEEE Int. SOI Conf., Oct. 2008, pp. 29–30.
[38] Hubert, M. Bawedin, S. Cristoloveanu, and T. Ernst, “Dimensional effects and scalability of the Meta-Stable Dip (MSD) memory effect for 1T-DRAM SOI MOSFETs,” Solid State Electron., vol. 53, no. 12, pp. 1280–1286, Dec. 2009.
[39] N. Rodriguez, F. Gamiz, and S. Cristoloveanu, “A-RAM Memory Cell: Concept and Operation,” IEEE Electron Device Lett., vol. 31, no. 9, pp. 972–974, Sept.. 2010.
[40] J.-T. Lin, K.-D. Huang, and B.-T. Jheng, “Performances of a capacitorless 1T-DRAM using polycrystalline silicon thin-film transistors with trenched body,” IEEE Electron Device Lett., vol. 29, no. 11, pp. 1222-1225, Nov. 2008.
[41] J.-T. Lin, T.-F. Chang, Y.-C. Eng, P.-H. Lin, and C.-H. Chen, “Characteristics of a Smiling Polysilicon Thin-Film Transistor,” IEEE Electron Device Lett., vol. 33, no. 6, pp. 830-832, Jun. 2012
[42] C.-H. Chen, J.-T. Lin, Y.-C. Eng, P.-H. Lin, H.-N. Chiu, T.-F. Chang, C.-H. Tai, K.-Y. Lu, Y.-H. Fan, Y.-C. Chang, and H.-H. Chen, “A Novel Vertical MOSFET with bMPI Structure for 1T-DRAM Application,” in IEEE ISNE, 2010, pp. 133-135.
[43] User`s manual, ISE-TCAD, 2004.
[44] H.-C. Cheng, F.-S. Wang, and C.-Y. Huang, “Effects of NH3 Plasma Passivation on N-Channel Polycrystalline Silicon Thin-Film Transistors,” IEEE Trans. Electron Devices, vol. 44, no. 1, pp. 64-68, Jan.1997.
[45] (2009). The International Technology Roadmap for Semiconductors (ITRS)-Table PIDS. [Online]. Available: http://www.itrs.net/.
[46] C.-Y. Chang, T.-L. Lee, C. Wann, L.-S. Lai, H.-M. Chen, C.-C. Yeh, C.-S. Chang, C.-C. Ho, J.-C. Sheu, T.-M. Kwok, F. Yuan, S.-M. Yu, C.-F. Hu, J.-J. Shen, Y.-H. Liu, C.-P. Chen, S.-C. Chen, L.-S. Chen, L. Chen, Y.-H. Chiu, C.-Y. Fu, M.-J. Huang, Y.-L. Huang, S.-T. Hung, J.-J. Liaw, H.-C. Lin, H.-H. Lin, L.-T. S. Lin, S.-S. Lin, Y.-J. Mii, E O. Yang, M.-F. Shieh, C.-C. Su, S.-P. Tai, H.-J. Tao, M.-H. Tsai, K T., K.-W. Wang, S.-B. Wang, J. J. Xu, F.-K. Yang, S.-T. Yang, C.-N. Yeh, “A 25-nm Gate-Length FinFET Transistor Module for 32nm Node,“ in IEDM Tech. Dig., 2009, pp. 293–296.
[47] S. Kim, and C. H. Lam, “Transition of Memory Technologies,” in VLSI-TSA, 2012, pp.1-3.
[48] N.Z. Butt, and M.A. Alam, “Scaling Limits of Capacitorless Double Gate DRAM Cell,” in IEEE SISPAD, 2006, pp.302-305.
[49] S. Okhonin, M. Nagoga, J. M. Sallese, and P. Fazan, “A capacitor-less 1T-DRAM cell,” IEEE Electron Device Lett., vol. 23, pp.85-87, Feb. 2002.
[50] R. H. Dennard, “Field-effect transistor memory,” U.S. Patent. 3 387 286, June 4, 1968
[51] T. Hamamoto, Y. Minami, T. Shino, N. Kusunoki, H. Nakajima, M. Morikado, T. Yamada, K. Inoh, A. Sakamoto, T. Higashi, K Fujita, K. Hatsuda, T. Ohsawa, and A. Nitayama,“A floating-Body Cell Fully Compatible With 90-nm CMOS Technology Node for a 128-Mb SOI DRAM and Its Scalability,” IEEE Trans. Electron Devices, vol. 54, no. 3, pp. 563-571, Mar. 2007.
[52] T. Shino, N. Kusunoki, T. Higashi, T. Ohsawa, K. Fujita, K. Hatsuda, N. Ikumi, F. Matsuoka, Y. Kajitani, R. Fukuda, Y. Watanabe, Y. Minami, A. Sakamoto, J. Nishimura, H. Nakajima, M. Morikado, K. Inoh, T. Hamamoto, and A. Nitayama, “Floating Body RAM Technology and its Scalability to 32nm Node and Beyond,” in IEDM Tech. Dig., 2006, pp. 1-4.
[53] G. Gouya, P. Malinge, B. Garni, F. Genevaux, R. Ferrant, S. Puget, V. Gravoulet, “1T-capacitorless bulk memory: Scalability and signal impact,” in ESSDERC, 2007, pp. 330-333.
[54] J.-W. Han, S.-W. Ryu, C. Kim, S. Kim, M. Im, S. J. Choi, J.S. Kim, K.H. Kim, G.S. Lee, J.S. Oh, M.H. Song, Y.C. Park, J.W. Kim, and Y.-K. Choi, “A Unified-RAM (URAM) Cell for Multi-Functioning Capacitorless DRAM and NVM,” in IEDM Tech. Dig., 2007, pp. 929–932.
[55] J.-W. Han, S.-W. Ryu, S. Kim, C.-J. Kim, J.-H. Ahn, S.-J. Choi, J.S. Kim, K.H. Kim, G.S. Lee, J.S. Oh, M.H. Song, Y.C. Park, J.W. Kim, and Y.-K. Choi, “A Bulk FinFET Unified-RAM (URAM) Cell for Multifunctioning NVM and Capacitorless 1T-DRAM,” IEEE Electron Device Lett., vol. 29, pp.632-634, Jun. 2008.
[56] J.-W. Han, S.-W. Ryu, C.-J. Kim, S. Kim, M. Im, S.J. Choi, J.S. Kim, K.H. Kim, G.S. Lee, J.S. Oh, M.H. Song, Y.C. Park, J.W. Kim, and Y.-K. Choi, “Partially Depleted SONOS FinFET for Unified RAM (URAM)—Unified Function for High-Speed 1T DRAM and Nonvolatile Memory,” IEEE Electron Device Lett., vol. 29, pp.781-783, Jul. 2008.
[57] J.-T. Lin, K.-D. Huang, and B.-T. Jheng, “Performance of a Capacitorless 1T-DRAM Using Polycrystalline Silicon Thin-Film Transistors With Trenched Body,” IEEE Electron Device Lett., vol. 29, no. 11, pp.1222-1225, Nov. 2008.
[58] J.-Y. Lin, P.-H. Lin, and Y.-C. Eng, “A Novel Vertical SOI-Based 1T-DRAM with Trench-Body Structure,” IEEE Trans. Electron Devices, vol. 60, no. 6, pp. 1872-1877, Jun. 2013.
[59] User`s manual, Sentaurus TCAD, 2011.
[60] (2013). The International Technology Roadmap for Semiconductors (ITRS)-Table PIDS6. [Online]. Available: http://www.itrs.net/Links/2013ITRS/
2013Tables/PIDS_2013Tables.xlsx.
[61] M.G. Ertosun, H. Cho, P. Kapur, and K.C. Saraswat, “A Nanoscale Vertical Double-Gate Single-Transistor Capacitorless DRAM,” IEEE Electron Device Lett., vol. 29, no. 6, pp.615-617, June. 2008.
[62] N. Rodriguez, C. Navarro, F. Gamiz, F. Andrieu, O. Faynot, and S. Cristoloveanu, “Experimental Demonstration of Capacitorless A2RAM Cells on Silicon-on-Insulator,” IEEE Electron Device Lett., vol. 33, no. 12, pp. 1717-1719, Dec. 2012.
[63] L.M. Almeida, K.R.A. Sasaki, C. Caillat, M. Aoulaiche, N. Collaert, M. Jurczak, E. Simoen, C. Claeys, and J.A. Martino, “Optimizing the front and back biases for the best sense margin and retention time in UTBOX FBRAM,” Solid-State Electron., vol.90, pp. 149-154, April, 2013.
[64] K.R.A. Sasaki, L.M. Almeida, J.A. Martino, M. Aoulaiche, E. Simoen, and C. Claeys,“Temperature influence on UTBOX 1T-DRAM using GIDL for writing operation,” in Proc. IEEE ICCDCS, Mar. 2012, pp. 1–4.
[65] M. Aoulaiche, A. Bravaix, E. Simoen, C. Caillat, M. Cho, L. Witters, P. Blomme, P. Fazan, G. Groeseneken, and M. Jurczak, “Endurance of One Transistor Floating Body RAM on UTBOX SOI,” IEEE Trans. Electron Devices, vol. 61, no. 3, pp. 801-805, March, 2014.
[66] Sentaurus User’s Manual, ver. H-2013.03, Synopsys, Inc.
[67] Q. Wu, J. Chen, Z. Lu, Z. Zhou, J. Luo, Z. Chai, T. Yu, C. Qiu, L. Li, A. Pang, X. Wang, and J.G. Fossum, “Experimental Demonstration of the High-Performance Floating-Body/Gate DRAM Cell for Embedded Memories,” IEEE Electron Device Lett., vol. 33, no. 6, pp. 743-745, Jun. 2012.
[68] Micron, “2 GB: x4, x8, x16 DDR2 SDRAM Features,” MT47H512M4 datasheet, 2006.
[69] R. Yu, A.N. Nazarov, V.S. Lysenko, S. Dasa, I. Ferain, P. Razavi, M. Shayesteh, A. Kranti, R. Duffy, and J.-P. Colinge, "Impact ionization induced dynamic floating body effect in junctionless transistors," Solid-State Electron., vol. 90, pp. 28-33, Mar. 2013.
[70] C.-W. Lee, A. Borne, I. Ferain, A. Afzalian, R. Yan, N.D. Akhavan, P. Razavi, and J.-P. Colinge, “High-Temperature Performance of Silicon Junctionless MOSFETs,” IEEE Trans. Electron Devices, vol. 57, no. 3, pp.620-625, Mar. 2010.
[71] R.K. Baruah, and R.P. Paily, “High-Temperature Effects on Device Performance of A Junctionless Transistor” in Proc. IEEE ICEE, 2012, pp.1-4.
電子全文 Fulltext
本電子全文僅授權使用者為學術研究之目的,進行個人非營利性質之檢索、閱讀、列印。請遵守中華民國著作權法之相關規定,切勿任意重製、散佈、改作、轉貼、播送,以免觸法。
論文使用權限 Thesis access permission:自定論文開放時間 user define
開放時間 Available:
校內 Campus: 已公開 available
校外 Off-campus: 已公開 available


紙本論文 Printed copies
紙本論文的公開資訊在102學年度以後相對較為完整。如果需要查詢101學年度以前的紙本論文公開資訊,請聯繫圖資處紙本論文服務櫃台。如有不便之處敬請見諒。
開放時間 available 已公開 available

QR Code