Responsive image
博碩士論文 etd-0623113-230228 詳細資訊
Title page for etd-0623113-230228
論文名稱
Title
SOI與High-k/Metal Gate金氧半場效電晶體可靠度物理機制分析
Physical Mechanism of Reliability Analysis on SOI and High-k/Metal Gate MOSFETs
系所名稱
Department
畢業學年期
Year, semester
語文別
Language
學位類別
Degree
頁數
Number of pages
233
研究生
Author
指導教授
Advisor
召集委員
Convenor
口試委員
Advisory Committee
口試日期
Date of Exam
2013-07-12
繳交日期
Date of Submission
2013-07-23
關鍵字
Keywords
金氧半場效電晶體、高介電常數金屬閘極、矽覆絕緣、偏壓溫度不穩定性、熱載子退化
MOSFETs, Silicon-on-Insulator, high-K/metal gate, bias temperature instability, hot carrier stress
統計
Statistics
本論文已被瀏覽 5803 次,被下載 5
The thesis/dissertation has been browsed 5803 times, has been downloaded 5 times.
中文摘要
金氧半場效電晶體為了達到高效能高經濟效益的目標而隨著摩爾定律(Moore’s Law)微縮,然而單純地微縮尺寸去達成摩爾定律下的演化趨勢已經無法應付90奈米的量產世代,因此需要其他不同的技術來補救微縮下造成的缺點並且提高元件的性能(performance),包括應變矽(strained-Si)技術提升載子遷移率(mobility),引入高介電常數金屬閘極(high-k/metal gate)堆疊結構技術降低閘極漏電以及提高驅動電流,或是使用矽覆絕緣(Silicon-on-Insulator, SOI)結構提昇元件切換速度等。但電晶體的性能與可靠度(reliability)是必需權衡(trade off)的議題。因此,在65奈米製程世代之後,不少可靠度的議題被廣泛地討論,包括偏壓溫度不穩定性(bias temperature instability, BTI),熱載子退化(hot carrier degradation),或是閘極介電層完整性(Gate oxide Integrity, GOI)的議題等。
本研究針對65奈米的SOI金氧半場效電晶體與28奈米的high-k/metal gate金氧半場效電晶體討論可靠度的議題。針對偏壓溫度不穩定性,在SOI p-MOSFETs上,我們探討了三種變因下的負偏壓溫度不穩定性(negative bias temperature instability, NBTI),首先我們比較浮體(floating body, FB)元件與標準四端點(body contact, BC)元件的NBTI差異。發現FB元件的退化現象較不明顯,這是因為閘極誘發浮體效應(gate-induced floating body effect, GIFBE)造成閘極氧化層電場下降所導致的。接著施予外界應力(mechanical strain)後,發現FB元件的NBTI劣化變的更加地不明顯。經過研究驗證後,發現為應變導致的能帶窄化增強了碰撞游離率,使得GIFBE造成的電場下降之現象變得更加明顯所致。而第三變因,為施加汲極偏壓下的NBTI現象之討論。我們發現,早先的研究已發現,施加一持續的汲極偏壓會導致汲極端的閘極電場空乏,使得靠近汲極端的NBTI現象較輕微,抑制元件的退化。而我們卻認為尚有其他因素會影響到NBTI,那就是電洞與矽氫(Si-H)鍵的反應過程時間,會因為汲極偏壓所引起的橫向電場使電洞流動,造成通道內的非穩態,導致Si-H鍵斷鍵不完全而抑制了NBTI劣化。利用實驗條件的等效,在施加汲極端的條件下,我們得到了較不嚴重的劣化結果,符合我們提出之假設。接著,我們利用變頻跟變壓的方式去驗證論點,發現汲極偏壓的施加頻率越慢,或是施加偏壓越大,載子受電場牽引的現象越明顯,而調變了NBTI劣化。而high-k/metal gate金氧半場效電晶體的可靠度研究,我們探討了P型元件的正偏壓溫度不穩定性(PBTI)。發現電子捕捉的現象主導了實驗退化的機制,但卻有一異常的charge pumping (CP)訊號產生,經過探討,我們認定為是載子行經SiO2與HfO2介面時發生的碰撞游離,產生介面缺陷,因而被頻率選擇在1 MHz的CP量測到。另一方面,在高溫環境下我們比較了金屬閘極中含氮量多與寡的兩種元件的起始電壓飄移。發現含氮量少的元件,其載子遵守Poole-Frenkle機制,而使得起始電壓飄移量隨著實驗溫度越高而越小。另一方面,含氮量多的元件,則是遵守熱離子發射的機制,因此其起始電壓飄移量隨著溫度越高而越明顯。而可靠度研究的第二部份為熱載子退化(hot carrier stress, HCS)的研究,在SOI n-MOSFET上,我們比較BC與FB兩種元件的退化程度,卻發現了FB的元件退化較明顯。我們推測是浮體效應造成的橫向碰撞游離率的提高。利用快速可靠度量測系統(Fast BTI),我們偵測到因浮體效應而導致的暫態電流抬升,表示浮體效應能增強電流值而加強碰撞游離的機會。在高溫下的表現,則發現浮體效應加重HCS的現象減輕了,經由實驗驗證,這是由於高溫下活躍的載子複合率所導致的,使得在基板端累積的載子快速地被複合掉,降低了浮體效應。當外界應力引入HCS後,也發現應變導致的能帶窄化能使橫向碰撞游離率提高。基於此,我們去比較應變下的BC與FB的元件退化情形,發現兩者的退化差量差異不大,這是因為雙重效應(應變與浮體)導致碰撞游離的現象變得更加嚴重,使載子在基板端的充電效果到達飽和,載子往源極端導走所致。除此之外,high-k/metal gate p-MOSFETs在HCS下的劣化則是以熱載子被捕捉(charging trapping)於高介電常數膜層端為主要機制,我們提出了載子捕捉誘發汲極電致位障降低(drain-induced barrier lowering, DIBL)的機制來解釋HCS後起始電壓變小的原因。最後,我們探討動態HCS的效應於high-k/metal gate n-MOSFETs。我們將動態HCS的條件區分成on-state與off-state作探討,根據比較的結果,我們發現on-state的條件沒有電洞注入的現像,但是off-state的實驗條件則會有帶隙穿隧熱電洞(band-to-band hot hole)的貢獻於電洞補捉。由CP量測,我們推論在捕捉載子下方主動層區域,會因為庫倫電場的影響而產生一小範圍的本質區(intrinsic region)。使得HCS時,空乏區電場重新分佈。因此,當熱電子在加速的過程中,會因為本質區的產生而導致加速不完全,動能不足,無法產生較能量較深的斷鍵,導致次臨界區劣化不顯著。
Abstract
This dissertation studies physical mechanisms and reliability analysis on Silicon-on-Insulator (SOI) and high-K/metal gate MOSFETs. For the part of bias temperature instability (BTI), we investigate negative bias temperature instability (NBTI) degradation in partially depleted (PD) SOI p-MOSFETs in three cases including gate-induced floating body effect (GIFBE), mechanical strain, and applied drain voltage We find that FB device shows less degradation than BC device, since GIFBE reduce the oxide electric field during stress. After that, strain-induced band gap narrowing leads NBTI further lowering due to much significant GIFBE. As we applied VD during NBT stress, NBTI-induced Vth shift decrease as VD increase within VD=-1V. Expect depletion, we find VD can shorten the time for reaction between channel holes and Si-H bonds under identical conditions, suppressing NBTI. Beyond VD=-1, higher VD can induce self-heating behavior in SOI devices, and then aggravating NBTI. As well as, we also studies positive bias stress (PBS) in HfO2/TiN p-MOSFETs and its high temperature effect. Electron trapping dominates the degradation under PBS in HfO2/TiN p-MOSFETs. But abnormal interface states generation occurs at HfO2/SiO2 to leads charging pumping (CP) degradation. Under high temperature, different nitrogen (N) concentration in metal gate determines the mechanism of conduction of PBS-induces electron (gate) current. Poole-Frenkel emission dominates the trapping-induced Vth shift in device with much N, but thermionic emission mechanism impacts the trapping-induced Vth shift in device with less N. For hot carrier stress (HCS), we study HCS degradation in PD SOI n-MOSFETs, and explain FB effect can aggravate HCS due to increase in impact ionization rate. However, the impact of FB effect on HCS in PD SOI n-MOSFETs decreases as temperature increases. This is because heavy recombination rate makes body charging behavior to vanish gradually. Additionally, strain effect was introduced into HCS in PD SOI n-MOSFETs. Strain-induced band gap narrowing increases impact ionization rate and HCS degradation. But FB effect under strain shows saturated rapid due to critical body charging behavior and band gap narrowing. Moreover, we propose a mode called charge trapping-induced drain-induced barrier lowering (DIBL) to explain positive Vth shift under HCS in HfO2/TiN p-MOSFETs. For HfO2/TiN n-MOSFETs, it studies dynamic effect in HCS. We find off-state part of stress condition has a contribution as gate-induced drain leakage (GIDL) stress to generate band-to-band hot hole. Thus, we propose that hole trapping can induce a intrinsic region below trapping within active layer. It can make incomplete and discontinued acceleration for channel hot electron, suppressing HCS degradation.
目次 Table of Contents
Contents

Abstract (Chinese)……………………………………………………….i
Abstract (English)……………………………………………………….v
Acknowledgements……………………….…………………………...viii
Contents………………………………………………………………….x
Figure Captions….……………………………………………………..xv

Chapter 1 Introduction
1.1 Basic Background………………………………………………………………..1
1.1.1 Overview of Moore’s law, Roadmap of scaling down………………………….1
1.1.2 Overview of Strained-Si (Silicon) Technology…………………………………3
1.1.3 Overview of Silicon-on-Insulator (SOI) MOSFETs……………………………4
1.1.4 Overview of high dielectric constant (high-k)/metal gate MOSFETs…………..6
1.2 Motivation………………………………………………………………………..7
1.3 Organization of Dissertation …………………………………………………….8
Reference……………………………………………………………………………....9

Chapter 2 Electric Parameters and Measurement Techniques
2.1 Extraction of Electric Parameters……………………………………………….19
2.1.1 Determination of threshold voltage (Vth) ……………………………….…….19
2.1.2 Determination of subthreshold swing (S.S) …………………………………..20
2.1.3 Determination of transconductance (Gm) and field-effect Mobility (μFE)……..21
2.2 Measurement Techniques……………………………………………………….22
2.2.1 Charging pumping (CP) Technology…………………………………………..22
2.2.2 Fast I-V Technology…………………………………………………………...23
Reference……………………………………………………………………………..24

Chapter 3 Impact of Gate-Induced Floating Body Effect (GIFBE) on NBTI in Partially Depleted SOI p-MOSFETs
3.1 Introduction……………………………………………………………………..31
3.2 The Mechanism of Negative Bias Temperature Instability (NBTI)…………….33
3.3 Experiment……………………………………………………………………...36
3.4 Result and Discussion…………………………………………………………..37
3.5 Summary………………………………………………………………………..41
Reference……………………………………………………………………………..42

Chapter 4 Impact of Mechanical Strain on GIFBE in PD SOI p-MOSFETs as indicated from NBTI degradation
4.1 Introduction……………………………………………………………………..55
4.2 Experiment……………………………………………………………………...56
4.3 Result and Discussion…………………………………………………………..57
4.4 Summary………………………………………………………………………..60
Reference…………………………………………………………………………….61

Chapter 5 Drain Bias Dependence on NBTI Degradation in PD SOI p-MOSFETs with Ultra Thin Gate Oxide
5.1 Introduction……………………………………………………………………..73
5.2 Experiment……………………………………………………………………...75
5.3 Result and Discussion…………………………………………………………..76
5.4 Summary………………………………………………………………………..82
Reference……………………………………………………………………………..82

Chapter 6 Hot Carrier Degradation in PD SOI n-channel MOSFETs under High Temperature and Mechanical Strain
6.1 Introduction……………………………………………………………………..94
6.2 Experiment………………………………………………………………….…..95
6.3 Result and Discussion…………………………………………………………..96
6.3.1 Hot Carrier Degradation in PD SOI n-channel MOSFETs…………………..96
6.3.2 Hot Carrier Degradation in PD SOI n-channel MOSFETs under High Temperature…………………………………………………………………..98
6.3.3 Hot Carrier Degradation in PD SOI n-channel MOSFETs under Mechanical Strain………………………………………………………………………...100
6.4 Summary………………………………………………………………………103
Reference……………………………………………………………………………104

Chapter 7 Positive Bias Stress-induced Degradation in HfO2/TiN p-channel MOSFETs under High Temperature
7.1 Introduction……………………………………………………………………126
7.2 Experiment…………………………………………………………………….127
7.3 Result and Discussion…………………………………………………………128
7.3.1 Abnormal Interface State Generation under Positive Bias Stress in HfO2/TiN p-channel MOSFETs………………………………………………………..128
7.3.2 Positive Bias Stress-induced Instability in HfO2/TiN p-channel MOSFETs under High Temperature…………………………………………………….133
7.4 Summary………………………………………………………………………135
Reference……………………………………………………………………………136

Chapter 8 Charge trapping-induced Drain-Induced-Barrier Height-Lowering (DIBL) in HfO2/TiN p-channel MOSFETs under Hot Carrier Stress
8.1 Introduction……………………………………………………………………151
8.2 Experiment…………………………………………………………………….153
8.3 Result and Discussion…………………………………………………………154
8.4 Summary………………………………………………………………………159
Reference……………………………………………………………………………159

Chapter 9 Dynamic Hot Carrier Stress in TiN/HfO2 n-channel MOSFETs
9.1 Introduction……………………………………………………………………170
9.2 Experiment…………………………………………………………………….171
9.3 Result and Discussion…………………………………………………………173
9.4 Summary………………………………………………………………………176
Reference……………………………………………………………………………177

Chapter 10 Conclusion and Future Work
10.1 Conclusion …………………………………………………………………….193
10.2 Suggestions for Future Study………………………………………………….197

Publication List……...……………………………………………………………..199
Vita………………………………………………………………………………….202
參考文獻 References
[1.1] Mark Bohr, “The Evolution of Scaling from the Homogeneous Era to the Heterogeneous Era,” in IEEE IEDM, pp. 1.1.1-1.1.6 (2011).
[1.2] Intel’s High k/Metal Gate Announcement (2003).
[1.3] S. Natarajan et. al.,”A 32nm logic technology featuring 2nd-generation high-k + metal-gate transistors, enhanced channel strain and 0.171μm2 SRAM cell size in a 291Mb array,” in IEEE IEDM, pp. 1-3 (2008).
[1.4] P. Packan et. al., “High performance 32nm logic technology featuring 2nd generation high-k + metal gate transistors,” in IEEE IEDM, pp.1-4 (2009).
[1.5] http://www.intel.com/content/www/us/en/silicon-innovations/intel-22nm
[1.6] J. A. del Alamo and D. H. Kim, “InGaAs CMOS: a "Beyond-the-Roadmap" Logic Technology?,” in IEEE DRC, pp. 201-202 (2007).
[1.7] P. D. Kirsch et. al., “Challenges of III-V Materials in Advanced CMOS Logic,” in IEEE VLSI, pp. 1-2 (2012).
[1.8] Max C. Lemme, “Graphene for microelectronics: Can it make a difference?,” in IEEE ESSDERC pp. 25-27 (2012).
[1.9] W. J. Yu, Z. Li, H. Zhou, Y. Chen, Y. Wang, Y. Huang and X. Duan, “Vertically stacked multi-heterostructures of layered materials for logic transistors and complementary inverters,” Nature Materials, 12, pp. 246-252 (2013).
[1.10] M. S. Parihar, D. Ghosh, and A. Kranti, “Ultra Low Power Junctionless MOSFETs for Subthreshold Logic Applications,” IEEE Trans. Electron Devices, 60, pp. 1540 (2013).
[1.11] A G O’Neil et. al., “Strained silicon MOSFETs technology,” in IEEE ICSICT, pp. 104-107 (2006).
[1.12] M. L. Lee and E. A. Fitzgerald, “Hole mobility enhancement in nanometer-scale strained-silicon heterostructures grown on Ge-rich relaxed Si1-xGex,” J. Appl. Phys., 94, pp. 2590 (2003).
[1.13] H. S. Rhee, H. Lee, T. Ueno, D. S. Shin, S. H. Lee, Y. Kim, A. Samoilov, P. O. Hansson, M. Kim, H. S. Kim, and N. I. Lee, “Negative Bias Temperature Instability of Carrier-Transport Enhanced pMOSFET with performance Boosters,” in IEEE IEDM, pp.692-695 (2005).
[1.14] C. Gallon, G. Reimbold, G. Ghibaudo, R.A. Bianchi and R. Gwoziecki, “Electric analysis of external mechanical stress effects in short channel MOSFETs on (001) silicon,” Solid State Electronics, 48, pp. 561 (2004).
[1.15] Y. G. Wang, D. B. Scott, J. Wu, J. L. Waller, J. Hu, K. Liu, and V. Ukraintsev, “ Effects of uniaxial mechanical stress on drive current of 0.13μm MOSFETs,” IEEE Trans. Electron Devices, 50, pp. 529 (2003).
[1.16] M. Casse, J. Pretet, S. Cristoloveanu, T. Poiroux, C. Fenouillet-Beranger, F. Fmleux, C. Raynaud, and G. Reimbold, “Gate-induced floating-body effect in fully depleted SOI MOSFETs with tunneling gate oxide and back-gate biasing,” Solid State Electron., 48, pp. 1243 (2004).
[1.17] H. Lin, J. Lin, and R. C. Chang, “Inversion-layer induced body current in SOI MOSFETs with body contacts” IEEE Electron Device Lett., 24, pp. 111 (2003).
[1.18] W. C. Lo, S. J. Chang, C. Y. Chang, and T. S. Chao, “Impacts of gate structure on dynamic threshold SOI nMOSFETs,” IEEE Electron Device Lett., 23,pp. 497 (2002).
[1.19] C. Y. Chang, S. J. Chang, T. S. Chao, S. D. Wu, and T. Y. Huang, “Reduced reverse narrow channel effect in thin SOI nMOSFETs,” IEEE Electron Device Lett., 21, pp. 460 (2000).
[1.20] X. Luo, Y. G. Wang, T. F. Lei, L. Lei, D. P. Fu, G. L. Yao, M. Qiao, B. Zhang, Z. Li, “Novel High Voltage LDMOS on Partial SOI with double-sided Charge Trenches,” in IEEE ISPSD, pp. 76-79 (2011).
[1.21] S. Toyoda, J. Okabayashi, H. Kumigashira, M. Oshima, K. Yamashita, M. Niwa, K. Usuda and G. L. Liu, “Crystallization in HfO2 gate insulators with in situ annealing studied by valence-band photoemission and X-ray absorption spectroscopy,” J. Appl. Phys., 97, pp. 104507 (2005).
[1.22] R. Puthenkovilakam, M. Sawkar and J. P. Chang, “Electrical characteristics of postdeposition annealed HfO2 on silicon,” Appl. Phys. Lett., 86, pp. 202902 (2005).
[1.23] J. Park, M. cho, S. K. Kim, T. J. Park, S. W. Lee, S. H. Hong and C. S. Hwang, “Influence of the oxygen concentration of atomic-layer-deposited HfO2 films on the dielectric property and interface trap density,” Appl. Phys. Lett., 86, pp. 112907 (2005).
[1.24] Sufi Zafar, Alessandro Callegari, Evgeni Gusev and Massimo V. Fischetti,“Charge trapping in high-k gate dielectric stacks,” in IEDM, pp. 517 (2002).
[1.25] J. Robertson, O. Sharia and A. A. Demkov, “Fermi level pinning by defects in HfO2-metal gate stacks,” Appl. Phys. Lett., 91, 132912 (2007).
[1.26] K. Akiyama, W. Wang, W. Mizubayashi, M. Ikeda, H. Ota, T. Nabatame and A. Toriumi, “VFB roll-off in HfO2 gate stack after high temperature annealing process – a crucial role of out-diffused oxygen from HfO2 to Si,” in IEEE VLSI, pp.72-73 (2007).
[1.27] M. V. Fischetti, D. A. Neumayar and E. A. Cartier, “Effective electron mobility in Si inversion layers in metal-oxide-semiconductor systems with a high-k insulator: role of remote phonon scattering,” J. Appl. Phys., 90, pp. 4587 (2001).
[1.28] S. Datta, et al., “high mobility Si/SiGe strained cahnnel MOS transistors with HfO2/TiN gate stack,” in IEEE IEDM, pp. 653-654 (2003).
[1.29] R. Chau, S. Datta, M. Doczy, B. Doyle, J. Kavalieros and M. Metz, “High-k/metal-gate stack and its MOSFET characteristics,” IEEE Electron Device Lett., 25, pp. 408 (2004).
[1.30] D. H. Triyoso, R. I. Hegde, J. K. Schaeffer, R. Gregory, X.-D. Wang, M. Canonico, D. Roan, E. A. Hebert, K. Kim, J. Jiang, R. Rai, V. Kaushik, and S. B. Samavedam, “Characteristics of atomic-layer-deposited thin HfxZr1−xO2 gate dielectrics,” Journal of Vacuum Science & Technology B: Microelectronics and Nanometer Structures, 25, pp. 845 (2007).
[1.31] H. S. Jung et. al., ”Impacts of Zr Composition in Hf1−xZrxOy Gate Dielectrics on Their Crystallization Behavior and Bias-Temperature-Instability Characteristics,” IEEE Trans. Electron Devices, 58, pp. 2049 (2011).
[1.32] J.Y. Tewg, Y. Kuo, and J. Lu, “Suppression of Crystallization of Tantalum Oxide Thin Film by Doping with Zirconium,” Electrochemical and Solid-State Letters, 8, pp. G27-G29 (2005).
[2.1] D. K. Schroder, “Semiconductor material and device characterization 3rd,” Wiley, Hoboken, New Jersey (2006).
[2.2] J. S. Brugler and P. G. A. Jerpers, “Charging Pumping in MOS Device,” IEEE Trans. Electron Devices. ED-16, pp. 297
(1969).
[2.3] G. Groeseneken, H. E. Maes, N. Beltran and R. F. De Keersmeacker, “A Reliable Approach to Charge-Pumping Measurement in MOS transistors,” IEEE Trans. Rlrctron Devices, ED-31, pp. 42 (1984).
[2.4] P. Heremans, J. Witters, G. Groeseneken and H. E. Maes, “Analysis of the Charge Pumping Technigue and Its Application for Evaluation of MOSFET Degradation,” IEEE Trans. Electron Device, 36, pp.1318 (1989).
[2.5] C. Shen, M. F. Li, X. P. Wang, H. Y. Yu, Y. P. Feng, A. T. L. Lim, Y. C. Yeo, D. S. H. Chan, and D. L. Kwong, “Negative U traps in HfO2 gate dielectrics and frequency dependence of dynamic BTI in MOSFETs,” in IEEE IEDM, pp. 733-736 ( 2004).
[2.6] D. Heh, R. Choi, C. D. Young, B. H. Lee, and G. Bersuker, “A novel bias temperature instability characterization methodology for high-κ MOSFETs,” IEEE Electron Device Lett., 27, pp. 849 (2006).
[3.1] S. Abo, M. Mizutani, K. Nakayama, T. Takaoka, T. Iwamatsu, Y. Yamaguchi, S. Maegawa, T. Nishimura, A. Kunomura, Y. Horino, and M. Takai, “ Instability study of partially depleted SOI-MOSFET due to floating body effect using high energy nuclear microprobes,” in Proc. Conf. Ion Implantation Technol., pp. 285-288 (2000).
[3.2] A. Mercha, J. M. Rafi, E. Simoen, E. Augendre, and C. Claeys,” "Linear kink effect" induced by electron valence band tunneling in ultrathin gate oxide bulk and SOI MOSFETS,” IEEE Trans. Electron Devices, 50, pp. 1675 (2003).
[3.3] J. Pretet, T. Matsumoto, T. Poiroux, S. Cristoloveanu, R. Gwoziecki, C. Raynaud, A. Roveda, and H. Brut, “New Mechanism of Body Charging in Partially Depleted SOI-MOSFETs with Ultra-thin Gate Oxides,” in Proc. ESSDERC, pp. 515-518 (2002).
[3.4] M. Casse, J. Pretet, S. Cristoloveanu, T. Poiroux, C. Fenouillet-Beranger, F. Fmleux, C. Raynaud, and G. Reimbold, “Gate-induced floating-body effect in fully-depleted SOI MOSFETs with tunneling oxide and back-gate biasing,” Solid State Electron., 48, pp. 1243 (2004).
[3.5] K. I. Na, S. Cristoloveanu, Y. H. Bae, P. Patruno, W. Xiong, J. H. Lee, ”Gate-induced floating-body effect (GIFBE) in fully depleted triple-gate n-MOSFETs”, Solid-State Electronics, 53, pp. 150 (2009).
[3.6] R. Mishra, D. E. Ioannou, S. Mitra, and R. Gauthier, “Effect of Floating-Body and Stress Bias on NBTI and HCI on 65-nm SOI pMOSFETs,” IEEE Electron Device Lett., 29, pp. 262 (2008).
[3.7] R. Mishra, S. Mitra, R. Gauthier, D. E. Ioannou, C. Seguin, R. Halbach, “Concurrent HCI-NBTI: worst case degradation condition for 65 nm p-channel SOI MOSFETs,” Microelectronic Engineering,84, pp. 2085 (2007).
[3.8] C. H. Dai, T. C. Chang, A. K. Chu, Y. J. Kuo, F. Y. Jian, W. H. Lo, S. H. Ho, Guangrui Xia, Osbert Cheng, C. T. Huang, “On the Origin of Gate-Induced Floating-Body Effect in PD SOI p-MOSFETs,” IEEE Electron Device Lette., 32, pp. 847 (2011).
[3.9] B. E. Deal, M. Sklar, A. S. Grove and E. H. Snow, “Characteristics of the surface-state charge (Qss) of thermally oxidized silicon,” J. Electrochem. Soc., 114, pp. 266 (1967).
[3.10] R. J. Strain, A. Goetzberger and A. D. Lopez, “On the formation of surface states during stress aging of thermal Si-SiO2 interface,” J. Electrochem. Soc., 120, 90 (1973).
[3.11] P. Chaparala, J. Shibley and P. Lim, “Threshold voltage drift in p-MOSFETs due to NBTI and HCI,” in Proc. Int. Reliability Workshop, pp. 95-97 (2000).
[3.12] K. Uwasawa, T. Yamamoto and T. Mogami, “A new degradation mode of scaled p+ poly-silicon gate p-MOSFETs induced by bias temperature (BT) instability,” in IEDM Tech. Dig., pp. 871-874 (1995).
[3.13] S. Ogawa and N. Shiono, “Generalized diffusion-reaction model for the low-field charge build up instability at the Si-SiO2 interface,” Phys. Rev. B, 51, pp. 4218 (1995).
[3.14] K. Uwasawa, T. Yamamoto and T. Mogami, “Bias temperature instability in scaled p+ poly-silicon gate p-MOSFETs,” IEEE Trans. Electron Devices, 46, pp. 921 (1999).
[3.15] Y. Mitani, M. Nagamine, H. Sstake and A. Toriumi, “NBTI mechanism in ultra-thin gate dielectric-nitrogen-originated mechanism in SiON,” in IEDM Tech. Dig., pp. 509-512 (2002).
[3.16] D. K. Schroder and J. A. Badcock, “Negative bias temperature instability: Road to cross in deep submicro silicon semiconductor manufacturing,” J. Appl. Phys., 94, 1 (2003).
[3.17] S. Mahapatra, P. Bharat Kumar and M. A. Alam, “A new observation of enhanced bias temperature instability in thin gate oxide p-MOSFETs,” in IEDM Tech. Dig., pp. 337-341 (2003).
[3.18] N. Kimizuka, T. Yamamoto, T. Mogami, K. Yamaguchi, K. Imai and T. Horiuchi, “The impact of bias temperature instability for direct tunneling ultra-thin gate oxide on MOSFET scaling,” in VLSI Tech. Symp., pp. 73-74 (1999).
[3.19] D. S. Ang, S. C. S. Lai, G. A. Du, Z. Q. Teo, T. J. J. Ho, and Y. Z. Hu, “Effect of Hole-Trap Distribution on the Power-Law Time Exponent of NBTI,” IEEE Electron Device Lett., 30, pp.751 (2009).
[3.20] Y. Gao, D. S. Ang, C. D. Young and G. Bersuker, “Evidence for the Transformation of Switching Hole Traps into Permanent Bulk Traps under Negative-Bias Temperature Stressing of High-k P-MOSFETs,” in IRPS, pp. 5A.5.1-5A.5.5 (2012).
[3.21] B. Kaczer, T. Grasser, Ph. J. Roussel, J. Franco, R. Degraeve, L.-A. Ragnarsson, E. Simoen, G. Groeseneken, H. Reisinger,” Origin of NBTI Vbility in Deeply Scaled pFETs,” in IEEE IRPS, pp. 26-32 (2010).
[4.1] T. Ghani, K. Mistry, P. Packan, S. Thompson, Stealer M, and Tyagi S, “Scaling challenges and device design requirements for high performance sub-50 nm gate length planar CMOS transistors,” in VLSI Tech Dig, pp.174-175 (2000).
[4.2] H. S. Rhee, H. Lee, T. Ueno, D. S. Shin, S. H. Lee, Y. Kim, A. Samoilov, P. O. Hansson, M. Kim, H. S. Kim, and N. I. Lee, “Negative Bias Temperature Instability of Carrier-Transport Enhanced pMOSFET with performance Boosters,” in IEDM tech. Dig., pp.692-695 (2005).
[4.3] G. Thareja, F. Z. J. Lee, A. V. Y. Thean, V. Vartanian, and B. Y. Nguyen, ” NBTI Reliability of Strained SOI MOSFETs,” in Proc. ISTFA, pp. 423–425 (2006).
[4.4] Y. W. Jeon, D. H. Ka, C. G. Yu, W. J. Cho, M. S. Islam, and J. T. Park, ”NBTI and hot carrier effect of SOI p-MOSFETs fabricated in strained Si SOI wafer,” Microelectronics Reliability, 49, pp. 994-997 (2009).
[4.5] Yuichiro Mitani, Makoto Nagamine, Hideki Satake and Akira Toriumi, “NBTI mechanism in ultra-thin gate dielectric-nitrogen-originated mechanism in SiON,” in IEDM tech. Dig., pp. 509-512 (2002).
[4.6] N. Kimizuka, K. Yamaguchi, K. Imai, C. T. Liu, R. C. Keller, and T. Horiuchi, “NBTI enhancement by nitrogen incorporation into ultrathin gate oxide for 0.10-mm gate CMOS generation,” in VLSI tech. Dig., pp. 92-93 (2000).
[4.7] J. Pretet, T. Matsumoto, T. Poiroux, S. Cristoloveanu, R. Gwoziecki, C. Raynaud, A. Roveda and H. Brut, ”New mechanism of body charging in Partially Depleted SOI-MOSFETs with Ultra-Thin Gate Oxides,” in Proc. ESSDERC, pp. 515-518 (2002).
[4.8] M. Casse, J. Pretet, S. Cristoloveanu, T. Poiroux, C. Fenouillet-Beranger, F. Fmleux, C. Raynaud, and G. Reimbold, “Gate-induced floating-body effect in fully-depleted SOI MOSFETs with tunneling oxide and back-gate biasing,” Solid State Electron.,48, pp. 1243-1247 (2004).
[4.9] C. H. Dai et al., “On the Origin of Gate-Induced Floating-Body Effect in PD SOI p-MOSFETs,” IEEE Electron Device Lett., 32, pp. 847-849 (2011).
[4.10] R. Mishra, D. E. Ioannou, S. Mitra, and R. Gauthier, “Effect of Floating-Body and Stress Bias on NBTI and HCI on 65-nm SOI pMOSFETs,” IEEE Electron Device Lett., 29, pp. 262-264 (2008).
[4.11] J. Zhang, A. Marathe, K. Taylor, E. Zhao, and B. En, “New findings of NBTI in partially depleted SOI transistors with ultra-thin gate dielectrics,” in Proc. Int. Rel. Phys. Symp., pp. 687–688 (2004).
[4.12] Y. J. Kuo et al., “Substrate current enhancement in 65 nm metal-oxide-silicon field-effect transistor under external mechanical stress,” Thin Solid Films, 517, pp. 1715–1718 (2009).
[4.13] C. H. Dai et al., “Enhanced gate-induced floating-body effect in PD SOI MOSFET under external mechanical strain,” Surface & Coatings Technology, 205, pp. 1470-1474 (2010).
[4.14] C. S. Lin et al.,” NBTI Degradation in LTPS TFTs Under Mechanical Tensile Strain,” IEEE Electron Devices Lett., 32, pp. 907-909 (2011).
[4.15] X. Yang, J. Lim, G. Sun, K. Wu, T. Nishida, and S. E. Thompson, “Strain-induced changes in the gate tunneling currents in p-channel metal–oxide–semiconductor field-effect transistors,” Appl. Phys. Lett., vol. 88, pp. 052108 (2006).
[4.16] T. Irisawa, T. Numata, E. Toyoda, N. Hirashita, T. Tezuka, N. Sugiyama, and S. I. Takagi, “Physical Understanding of Strain-Induced Modulation of Gate Oxide Reliability in MOSFETs,” IEEE Trans. Electron Devices, 55, pp. 3159 (2008).
[5.1] G. Shahidi et al, “Mainstreaming SOI Technology for High Performance CMOS,” in IEEE International SOI Conference Proceedings, pp. 1-4 (1999).
[5.2] K. A. Jenkins, J. Y. C. Sun, and J. Gautier, “History dependence of output characteristics of silicon-on-insulator (SOI) MOSFETs,” IEEE Electron Device Lett., 17, pp. 7-9 (1996).
[5.3] J. Pretet, T. Matsumoto, T. Poiroux, S. Cristoloveanu, R. Gwoziecki, C. Raynaud, A. Roveda and H. Brut, ”New mechanism of body charging in Partially Depleted SOI-MOSFETs with Ultra-Thin Gate Oxides,” in Proc. ESSDERC, pp. 515-518 (2002).
[5.4] M. Casse, J. Pretet, S. Cristoloveanu, T. Poiroux, C. Fenouillet-Beranger, F. Fmleux, C. Raynaud, and G. Reimbold, “Gate-induced floating-body effect in fully-depleted SOI MOSFETs with tunneling oxide and back-gate biasing,” Solid State Electron., 48, pp. 1243-1247 (2004).
[5.5] C. H. Dai, T. C. Chang, A. K. Chu, Y. J. Kuo, S. C. Chen, C. C. Tsai, S. H. Ho, W. H. Lo, Guangrui Xia, Osbert Cheng, and C. T. Huang, “On the Origin of Hole Valence Band Injection on GIFBE in PD SOI n-MOSFETs,” IEEE Electron Device Lett., 31, pp. 540-542 (2010).
[5.6] C. H. Dai et al., “On the Origin of Gate-Induced Floating-Body Effect in PD SOI p-MOSFETs,” IEEE Electron Device Lett., 32, pp. 847-849 (2011).
[5.7] W. H. Lo, T. C. Chang, C. H. Dai, W. L. Chung, C. E. Chen, S. H. Ho, Osbert Cheng, C. T. Huang,” Impact of Mechanical Strain on GIFBE in PD SOI p-MOSFETs as Indicated From NBTI Degradation,” IEEE Electron Device Lett., 33, pp. 303-305 (2012).
[5.8] R. Mishra, S. Mitra, R. Gauthier, and D. E. Ioannou, “NBTI and Concurrent HCI-NBTI Degradation of 65 nm SOI PMOSFETs,” in IEEE International SOI Conference, pp. 81-82, (2007).
[5.9] S. T. Liu, D. E. Ioannou, D. P. Ioannou, M. Flanery, and H. L. Hughes, “NBTI in SOI p-channel MOS field effect transistors,” in IEEE International Integrated Reliability Workshop Final Report, pp. 17-21 (2005).
[5.10] Z. H. Gan1, C. C. Liao, M. Liao1, J. P. Wang, W. Wong, B. G. Yan, J. F. Kang, and Y. Y. Wong, “Models of Source/Drain Bias on Negative Bias Temperature Instability,” in IEEE ICSICT, pp. 1119-1121 (2006).
[5.11] B. G. Yan, J. F Yang, Z. L. Xia, X. Y. Liu, G. Du, R. Han, J. F Kang, C. C. Liao, Z. H Gan, M. Liao, J. P. Wang, and W. Wong IEEE trans. Nanotechnology, 7, pp. 418-421 (2008).
[5.12] S. S. Tan, T. P. Chen, J. M. Soon, K. P. Loh, C. H. Ang, and L. Chan, “Nitrogen-enhanced negative bias temperature instability: An insight by experiment and first-principle calculations,” App. Phys. Lett., 82, pp. 1881 (2003).
[5.13] K. A. Jenkins, J. Y. C. Sun, and J. Gautier, “Characteristics of SOI FET’s Under Pulsed Condition,” IEEE Trans. Electron Devices, 44, pp. 1923-1930 (1997).
[6.1] T. C. Chen, T. C. Chang, C. T. Tsai, T. Y. Hsieh, S. C. Chen, C. S. Lin, M. C. Hung, C. H. Tu, J. J. Chang, and P. L. Chen, “Behaviors of InGaZnO thin film transistor under illuminated positive gate-bias stress,” Appl. Phys. Lett., 97, pp. 112104-112107 (2010).
[6.2] C. T. Tsai, T. C. Chang, S. C. Chen, I. Lo, S. W. Tsao, M.C. Hung, J. J. Chang, C. Y. Wu, and C. Y. Huang, “Influence of positive bias stress on N2O plasma improved InGaZnO thin film transistor,” Appl. Phys. Lett., 96, pp. 242105-242108 (2010).
[6.3] T. C. Chang, F. Y. Jian, S. C. Chen, Y. T. Tsai, “Developments in nanocrystal memory”, Mater. Today, 14, pp. 608-615 (2011).
[6.4] M. C. Chen, T. C. Chang, C. T. Tsai, S. Y. Huang, S. C. Chen, C. W. Hu, S. M. Sze, and M. J. Tsai, “Influence of electrode material on the resistive memory switching property of indium gallium zinc oxide thin films,” Appl. Phys. Lett., 96, pp. 262110-262113 (2010).
[6.5] Y. E. Syu, T. C. Chang, T. M. Tsai, Y. C. Hung, K. C. Chang, M. J. Tsai, M. J. Kao, and S. M. Sze, “Redox Reaction Switching Mechanism in RRAM device with Pt/CoSiOX/TiN structure,” IEEE Electron Device Lett., 32, pp. 545-547 (2011).
[6.6] G. Shahidi et al, “Mainstreaming SOI Technology for High Performance CMOS,” in IEEE International SOI Conference Proceedings, pp. 1-4 (1999).
[6.7] K. A. Jenkins, J. Y. C. Sun, and J. Gautier, “History dependence of output characteristics of silicon-on-insulator (SOI) MOSFETs,” IEEE Electron Device Lett., 17, pp. 7-9 (1996).
[6.8] J. Pretet, T. Matsumoto, T. Poiroux, S. Cristoloveanu, R. Gwoziecki, C. Raynaud, A. Roveda and H. Brut, ”New mechanism of body charging in Partially Depleted SOI-MOSFETs with Ultra-Thin Gate Oxides,” in Proc. ESSDERC, pp. 515-518 (2002).
[6.9] M. Casse, J. Pretet, S. Cristoloveanu, T. Poiroux, C. Fenouillet-Beranger, F. Fmleux, C. Raynaud, and G. Reimbold, “Gate-induced floating-body effect in fully-depleted SOI MOSFETs with tunneling oxide and back-gate biasing,” Solid State Electron., 48, pp. 1243-1247 (2004).
[6.10] C. H. Dai, T. C. Chang, A. K. Chu, Y. J. Kuo, S. C. Chen, C. C. Tsai, S. H. Ho, W. H. Lo, Guangrui Xia, Osbert Cheng, and C. T. Huang, “On the Origin of Hole Valence Band Injection on GIFBE in PD SOI n-MOSFETs,” IEEE Electron Device Lett., 31, pp. 540-542 (2010).
[6.11] J. M. Rafi, E. Simoen, A. Mercha, F. Campabadal, and C. Claeys, “Impact of hot-carrier stress on gate-induced floating body effects and drain current transients of thin gate oxide partially depleted SOI nMOSFETs,” Solid-State Electronics, 49, pp. 1536-1546 (2005).
[6.12] J. M. Rafi, E. Simoen, K. Hayama, A. Mercha, F. Campabadal, H. Ohyama, and C. Claeys, “Hot-carrier-induced degradation of drain current hysteresis and transients in thin gate oxide floating body partially depleted SOI nMOSFETs,” Microelectronics Reliability, 46, pp. 1657-1663 (2006).
[6.13] S. E. Thompson, G. Sun, Y. S. Choi, and T. Nishida, “Uniaxial-Process-Induced Strained-Si: Extending the CMOS Roadmap,” IEEE Trans. Electron Device, 53, pp.1010-1020 (2006).
[6.14] V. Chan, R. Rengarajan, N. Rovedo, W. Jin, T. Hook, P. Nguyen et al., “High speed 45 nm gate length CMOSFETs integrated into a 90 nm bulk technology incorporating strain engineering,” in IEDM Tech. Dig., pp. 3.8.1-3.8.4 (2003).
[6.15] P. R. Chidambaram et al., “35% drive current improvement from recessed-SiGe drain extensions on 37 nm gate length PMOS,” in IEEE VLSI Symp. Tech. Dig., pp. 48-49 (2004).
[6.16] Y. C. Yeo, Q. Lu, W. C. Lee, T. J. King, C. Hu, X. Wang, X. Guo, and T. P. Ma, “ Direct Tunneling Gate Leakage Current in Transistors with Ultrathin Silicon Nitride Gate Dielectric,” IEEE Electron Device Lett., 21, pp. 540-542 (2000).
[6.17] C. H. Dai, T. C. Chang, A. K. Chu, Y. J. Kuo, S. C. Chen, C. C. Tsai, S. H. Ho, W. H. Lo, Guangrui Xia, Osbert Cheng, and C. T. Huang, “On the Origin of Hole Valence Band Injection on GIFBE in PD SOI n-MOSFETs,” IEEE Electron Device Lett., 31, pp. 540-542 (2010).
[6.18] T. Irisawa, T. Numata, N. Sugiyama, and S. Takagi, “On the Origin of Increase in Substrate Current and Impact Ionization Efficiency in Strained-Si n- and p-MOSFETs,” IEEE Trans. Electron Devices, 52, pp. 993-998 (2005).
[6.19] T. Y. Chan, P. K. Ko, and C. Hu, “A simple method to characterize substrate current in MOSFETs,” IEEE Electron Device Lett., 5, no. EDL-12, pp. 505-507 (1984).
[6.20] S. M. Sze and Kwok K. N.G, “Physics of Semiconductor Devices 3rd,” Wiley, Hoboken, New Jersey, section 1.5, pp. 43-44 (2007).
[6.21] M. V. Fischetti, F. Gamiz and W. Hansch, “On the enhanced electron mobility in strained-silicon inversion layers,” J. Appl. Phys., 92, pp. 7320-7324 (2002).
[6.22] C. W. Liu, S. Maikap, and C. Y. Yu, “Mobility-Enhancement Technologies,” IEEE Circuit & Devices Magazine, 21, pp. 21-36 (2005).
[6.23] K. Rim, J. Chu, H. Chen, K. A. Jenkins, T. Kanarsky, K. Lee, A. Mocuta, H. Zhu, R. Roy, J. Newbury, J. Ott, K. Petrarca, P. Mooney, D. Lacey, S. Koester, K. Chan, D. Boyd, M. Ieong and H. S. Wong, ” Characteristics and device design of sub-100 nm strained Si n- and pMOSFET,” in IEEE VLSI Tech. Dig., pp. 98-99 (2002).
[6.24] T. Irisawa, T. Numata, N. Sugiyama, and S. Takagi, “On the Origin of Increase in Substrate Current and Impact Ionization Efficiency in Strained-Si n- and p-MOSFETs,” IEEE Trans. Electron Devices, 52, pp. 993-998 (2005).
[7.1] D. A. Buchanan,” Scaling the gate dielectric: Materials, integration, and reliability,” IBM J. Res. Dev., 43, pp. 245 (1999).
[7.2] G. Ribes, J. Mitard, M. Denais, S. Bruyere, F. Monsieur, C. Parthasarathy, E. Vincent, and G. Ghibaudo, “Review on high-k dielectrics reliability issues,” IEEE Trans. Devices and Materials reliability, 5, pp.5 (2005).
[7.3] Y. Kim, G. Gebara, M. Freiler, J. Barnett, D. Riley, J. Chen, K. Torres, J. E. Lim, B. Foran, F. Shaapur, A. Agarwal, P. Lysaght, G. A. Brown, C. Young, S. Borthakur, H. J. Li, B. Nguyen, P. Zeitzoff, G. Bersuker, D. Derro, R. Bergmann, R. W. Murto, A. Hou, H. R. Huff, E. Shero, C. Pomarede, M. Givens, M. Mazanec, and C. Werkhoven, “Conventional n-channel MOSFET devices using single layer HfO and ZrO as high-k gate dielectrics with polysilicon gate electrode,” in IEEE IEDM, pp.20.2.1-20.2.3 (2001).
[7.4] C. Hobbs, H. Tseng, K. Reid, B. Taylor, L. Dip, L.Hebert, R. Garcia, R. Hegde, J. Grant, D. Gilmer, A. Franke, V. Dhandapani, M. Azrak, L. Prabhu, R. Rai, S. Bagchi, J. Conner, S. Backer, F. Dumbuya, B. Nguyen, and P. Tobin, “80 nm poly-Si gate CMOS with HfO2 gate dielectric,” in IEEE IEDM, pp. 30.1.1-30.1.4 (2001).
[7.5] M. Casse, L. Thevenod, B. Guillaumot, L. Tosti, F. Martin, J. Mitard, O. Weber, F. Andrieu, T. Ernst, G. Reimbold, T. Billon, M. Mouis, and F. Boulanger, “Carrier transport in HfO2/metal gate MOSFETs: physical insight into critical parameters,” IEEE Trans. Electron Devices, 53, pp. 759 (2006).
[7.6] E. P. Gusev, “The Physics and Chemistry of SiO2 and the Si–SiO2 Interface,” Electrochem. Soc., pp. 477 (2000).
[7.7] S. Zafar, A. Callegari, E. Gusev, and M. V. Fischetti, “Charge trapping related threshold voltage instabilities in high permittivity gate dielectric stacks” J. Appl. Phys. 93, pp. 9298 (2003).
[7.8] C. H. Dai, T. C. Chang, A. K. Chu, Y. J. Kuo, W. H. Lo, S. H. Ho, C. E. Chen, J. M. Shih, H. M. Chen, B. Shan Dai, Guangrui Xia, Osbert Cheng, and C. T. Huang, “Impact of static and dynamic stress on threshold voltage instability in high-k/metal gate n-channel metal-oxide-semiconductor field-effect transistors,” Appl. Phys. Lett. 98, 092112 (2011).
[7.9] C. H. Dai, T. C. Chang, A. K. Chu, Y. J. Kuo, Y. C. Hung, W. H. Lo, S. H. Ho, C. E. Chen, J. M. Shih, W. L. Chung, H. M. Chen, B. S. Dai, T. M. Tsai, Guangrui Xia, Osbert Cheng, C. T. Huang, ”Charge trapping induced frequency-dependence degradation in n-MOSFETs with high-k/metal gate stacks,” Thin Solid Film, 520, pp. 1511 (2011).
[7.10] W. H. Lo, T. C. Chang, J. Y. Tsai, C. H. Dai, C. E. Chen, S. H. Ho, H. M. Chen, O. Cheng, and C. T. Huang, “Charge Trapping induced Drain-Induced-Barrier-Lowering in HfO2/TiN p-channel Metal-Oxide-Semiconductor-Field-Effect-Transistors under Hot Carrier Stress,” Appl. Phys. Lett., 100, pp. 152102 (2012).
[7.11] C. H. Dai, T. C. Chang, A. K. Chu, Y. J. Kuo, S. H. Ho, T. Y. Hsieh, W. H. Lo, C. E. Chen, J. M. Shih, W. L. Chung, B. S. Dai, H. M. Chen, Guangrui Xia, Osbert Cheng, and C. T. Huang, “Hot carrier effect on gate-induced drain leakage current in high-k/metal gate n-channel metal-oxide-semiconductor field-effect transistors ,” Appl. Phys. Lett. 99, pp. 012106 (2011).
[7.12] S. Datta, et al., “high mobility Si/SiGe strained cahnnel MOS transistors with HfO2/TiN gate stack,” IEEE IEDM, pp.28.2.1-28.1.4 (2003).
[7.13] R. Chau, S. Datta, M. Doczy, B. Doyle, J. Kavalieros and M. Metz, “High-k/metal-gate stack and its MOSFET characteristics,” IEEE Electron Device Lett., 25, pp. 408 (2004).
[7.14] H. Ota, A. Hirano, Y. Watanabe, N. Yasuda, K. Iwamoto, K. Akiyama, K. Okada, S. Migita, T. Nabatame, and A. Toriumil, “Intrinsic Origin of Electron Mobility Reduction in High-k MOSFETs - From Remote Phonon to Bottom Interface Dipole Scattering,” in IEEE IEDM, pp. 65-68 (2007).
[7.15] W. H. Wu, B. Y. Tsui, M. C. Chen, Y. T. Hou, Y. Jin, H. J. Tao, S. C. Chen, and M. S. Liang,” Transient Charging and Discharging Behaviors of Border Traps in the Dual-Layer HfO2SiO2 High-κ Gate Stack Observed by Using Low-Frequency Charge Pumping Method,” IEEE Trans. Electron Device, 54, 6, 1330 (2007)
[7.16] M. B. Zahid, R. Degraeve, M. Cho, L, Pantisano, D. R. Aguado, J. V. Houdt, G. Groeseneken, and M. Jurczak,” Deffect profiling in the SiO2/ Al2O3interface using Variable Tcharge-Tdischarge Amplitude Charge Pumping (VT2ACP)” in IEEE IRPS, pp 21-25 (2009).
[8.1] D. A. Buchanan,” Scaling the gate dielectric: Materials, integration, and reliability,” IBM J. Res. Dev., 43, pp. 245 (1999).
[8.2] G. Ribes, J. Mitard, M. Denais, S. Bruyere, F. Monsieur, C. Parthasarathy, E. Vincent, and G. Ghibaudo, “Review on high-k dielectrics reliability issues,” IEEE Trans. Devices and Materials reliability, 5, pp.5 (2005).
[8.3] Y. Kim, G. Gebara, M. Freiler, J. Barnett, D. Riley, J. Chen, K. Torres, J. E. Lim, B. Foran, F. Shaapur, A. Agarwal, P. Lysaght, G. A. Brown, C. Young, S. Borthakur, H. J. Li, B. Nguyen, P. Zeitzoff, G. Bersuker, D. Derro, R. Bergmann, R. W. Murto, A. Hou, H. R. Huff, E. Shero, C. Pomarede, M. Givens, M. Mazanec, and C. Werkhoven, “Conventional n-channel MOSFET devices using single layer HfO and ZrO as high-k gate dielectrics with polysilicon gate electrode,” in IEEE IEDM, pp.20.2.1-20.2.3 (2001).
[8.4] C. Hobbs, H. Tseng, K. Reid, B. Taylor, L. Dip, L.Hebert, R. Garcia, R. Hegde, J. Grant, D. Gilmer, A. Franke, V. Dhandapani, M. Azrak, L. Prabhu, R. Rai, S. Bagchi, J. Conner, S. Backer, F. Dumbuya, B. Nguyen, and P. Tobin, “80 nm poly-Si gate CMOS with HfO2 gate dielectric,” in IEEE IEDM, pp. 30.1.1-30.1.4 (2001).
[8.5] M. Casse, L. Thevenod, B. Guillaumot, L. Tosti, F. Martin, J. Mitard, O. Weber, F. Andrieu, T. Ernst, G. Reimbold, T. Billon, M. Mouis, and F. Boulanger, “Carrier transport in HfO2/metal gate MOSFETs: physical insight into critical parameters,” IEEE Trans. Electron Devices, 53, pp. 759 (2006).
[8.6] E. P. Gusev, “The Physics and Chemistry of SiO2 and the Si–SiO2 Interface,” Electrochem. Soc., pp. 477 (2000).
[8.7] S. Zafar, A. Callegari, E. Gusev, and M. V. Fischetti, “Charge trapping related threshold voltage instabilities in high permittivity gate dielectric stacks” J. Appl. Phys. 93, pp. 9298 (2003).
[8.8] C. H. Dai, T. C. Chang, A. K. Chu, Y. J. Kuo, W. H. Lo, S. H. Ho, C. E. Chen, J. M. Shih, H. M. Chen, B. Shan Dai, Guangrui Xia, Osbert Cheng, and C. T. Huang, “Impact of static and dynamic stress on threshold voltage instability in high-k/metal gate n-channel metal-oxide-semiconductor field-effect transistors,” Appl. Phys. Lett. 98, 092112 (2011).
[8.9] C. H. Dai, T. C. Chang, A. K. Chu, Y. J. Kuo, S. H. Ho, T. Y. Hsieh, W. H. Lo, C. E. Chen, J. M. Shih, W. L. Chung, B. S. Dai, H. M. Chen, Guangrui Xia, Osbert Cheng, and C. T. Huang, “Hot carrier effect on gate-induced drain leakage current in high-k/metal gate n-channel metal-oxide-semiconductor field-effect transistors,” Appl. Phys. Lett. 99, pp. 012106 (2011).
[8.10] I. Crupi, ”Hot carrier effects in n-MOSFETs with SiO2/HfO2/HfSiO gate stack and TaN metal gate,” Microelectronic Engineering, 86, pp.1 (2009).
[8.11] K. T. Lee, C. Y. Kang, O. S. Yoo, R. Choi, B. H. Lee, J. C. Lee, H. D. Lee, and Y. H. Jeong, “PBTI-Associated High-Temperature Hot Carrier Degradation of nMOSFETs With Metal-Gate/High-k Dielectrics,” IEEE Electron Device Lett., 29, pp. 398 (2008).
[8.12] H. Park, R. Choi, B. H. Lee, S. C. Song, M. Chang, C. D. Young, G Bersuker, J. C. Lee, and H. Hwang,”Decoupling of Cold-Carrier Effects in Hot-Carrier Reliability Assessment of HfO2 Gated nMOSFETs,” IEEE Electron Device Lett. 27, pp. 662 (2006).
[8.13] H. Gesch, J. P. Leburton, and G. E. Dorda,” Generation of interface states by hot hole injection in MOSFET's,” IEEE Trans. Electron Device, 29, pp. 913 (1982).
[8.14] C. H. Dai, T. C. Chang, A. K. Chu, Y. J. Kuo, Y. C. Hung, W. H. Lo, S. H. Ho, C. E. Chen, J. M. Shih, W. L. Chung, H. M. Chen, B. S. Dai, T. M. Tsai, Guangrui Xia, Osbert Cheng, C. T. Huang, ”Charge trapping induced frequency-dependence degradation in n-MOSFETs with high-k/metal gate stacks,” Thin Solid Film, 520, pp. 1511 (2011)
[8.15] G. Bersuker, P. Zeitzoff J. H. Sim, B. H. Lee, R. Choi, G. Brown, and C. D. Young, “Mobility evaluation in transistors with charge-trapping gate dielectrics,” Appl. Phys. Lett., 87, pp. 042905 (2005).
[8.16] J. H. Sim, S.C. Song, P.D. Kirsch, C. D. Young, R. Choi1, D. L. Kwong, B. H. Lee1,2 and G. Bersuker, “Effects of ALD HfO2 thickness on charge trapping and mobility,” Microelectronic Engineering, 80, pp. 218 (2005).
[9.1] T. C. Chang, F. Y. Jian, S. C. Chen, Y. T. Tsai, “Developments in nanocrystal memory”, Mater. Today.,14, pp. 608 (2011).
[9.2] M. C. Chen, T. C. Chang, C. T. Tsai, S. Y. Huang, S. C. Chen, C. W. Hu, S. M. Sze, and M. J. Tsai, “Influence of electrode material on the resistive memory switching property of indium gallium zinc oxide thin films,” Appl. Phys. Lett., 96, pp. 262110-262113 (2010).
[9.3] Y. E. Syu, T. C. Chang, T. M. Tsai, Y. C. Hung, K. C. Chang, M. J. Tsai, M. J. Kao, and S. M. Sze, “Redox Reaction Switching Mechanism in RRAM device with Pt/CoSiOX/TiN structure,” IEEE Electron Device Lett., 32, pp. 545-547 (2011).
[9.4] C. T. Tsai, T. C. Chang, S. C. Chen, I. Lo, S. W. Tsao, M.C. Hung, J. J. Chang, C. Y. Wu, and C. Y. Huang, “Influence of positive bias stress on N2O plasma improved InGaZnO thin film transistor,” Appl. Phys. Lett., 96, pp. 242105-242108 (2010).
[9.5] T. C. Chen, T. C. Chang, C. T. Tsai, T. Y. Hsieh, S. C. Chen, C. S. Lin, M. C. Hung, C. H. Tu, J. J. Chang, and P. L. Chen, “Behaviors of InGaZnO thin film transistor under illuminated positive gate-bias stress,” Appl. Phys. Lett., 97, pp. 112104-112107 (2010).
[9.6] S. H. Lo, D. A. Buchanan, Y. Taur, and W. Wang, “Quantum-Mechanical Modeling of Electron Tunneling Current from the Inversion Layer of Ultra-Thin-Oxide nMOSFET’s “, IEEE Electron Device Lett., 18, pp. 209 (1997).
[9.7] Y. Kim, G. Gebara, M. Freiler, J. Barnett, D. Riley, J. Chen, K. Torres, J. E. Lim, B. Foran, F. Shaapur, A. Agarwal, P. Lysaght, G. A. Brown, C. Young, S. Borthakur, H. J. Li, B. Nguyen, P. Zeitzoff, G. Bersuker, D. Derro, R. Bergmann, R. W. Murto, A. Hou, H. R. Huff, E. Shero, C. Pomarede, M. Givens, M. Mazanec, and C. Werkhoven, “Conventional n-channel MOSFET devices using single layer HfO and ZrO as high-k gate dielectrics with polysilicon gate electrode,” in IEEE IEDM, pp.20.2.1-20.2.3 (2001)
[9.8] C. H. Dai, T. C. Chang, A. K. Chu, Y. J. Kuo, W. H. Lo, S. H. Ho, C. E. Chen, J. M. Shih, H. M. Chen, B. Shan Dai, Guangrui Xia, Osbert Cheng, and C. T. Huang, “Impact of static and dynamic stress on threshold voltage instability in high-k/metal gate n-channel metal-oxide-semiconductor field-effect transistors,” Appl. Phys. Lett. 98, 092112 (2011)
[9.9] C. H. Dai, T. C. Chang, A. K. Chu, Y. J. Kuo, Y. C. Hung, W. H. Lo, S. H. Ho, C. E. Chen, J. M. Shih, W. L. Chung, H. M. Chen, B. S. Dai, T. M. Tsai, Guangrui Xia, Osbert Cheng, C. T. Huang, ”Charge trapping induced frequency-dependence degradation in n-MOSFETs with high-k/metal gate stacks,” Thin Solid Film, 520, pp. 1511 (2011).
[9.10] W. H. Lo, T. C. Chang, C. H. Dai, W. L. Chung, C. E. Chen, S. H. Ho, O. Cheng, and C. T. Huang, “Impact of Mechanical Strain on GIFBE in PD SOI p-MOSFETs as indicated from NBTI degradation,” IEEE Electron Device Lett., 33, pp. 303 (2012).
[9.11] C. H. Dai, T. C. Chang, A. K. Chu, Y. J. Kuo, S. C. Chen, C. T. Tsai, W. H. Lo, S. H. Ho, G. Xia, O. Cheng, and C. T. Huang, “Enhanced Gate-Induced Floating Body Effect in PD SOI n-MOSFETs under External Mechanical Strain,” Surface & Coatings Technology, 205, pp. 1470 (2010).
[9.12] C. H. Dai, T. C. Chang, A. K. Chu, Y. J. Kuo, F. Y. Jian, W. H. Lo, S. H. Ho, C. E. Chen, W. L. Chung, J. M. Shih, G. Xia, O. Cheng, and C. T. Huang, “On the Origin of Gate-Induced Floating Body Effect in PD SOI p-MOSFETs,” IEEE Electron Device Lett., 32, pp. 847 (2011) .
[9.13] C. H. Dai, T. C. Chang, Y. J. Kuo, S. C. Chen , C. C. Tsai, S. H. Ho, W. H. Lo, G. Xia, O. Cheng, and C. T. Huang, “On the Origin of Hole Valence Band Injection on GIFBE in PD SOI n-MOSFETs,” IEEE Electron Device Lett., 31, pp. 540 (2010).
[9.14] W. H. Lo, T. C. Chang, J. Y. Tsai, C. H. Dai, C. E. Chen, S. H. Ho, H. M. Chen, O. Cheng, and C. T. Huang, “Charge Trapping induced Drain-Induced-Barrier-Lowering in HfO2/TiN p-channel Metal-Oxide-Semiconductor-Field-Effect-Transistors under Hot Carrier Stress,” Appl. Phys. Lett., 100, pp. 152102 (2012).
[9.15] M. Casse, L. Thevenod, B. Guillaumot, L. Tosti, F. Martin, J. Mitard, O. Weber, F. Andrieu, T. Ernst, G. Reimbold, T. Billon, M. Mouis, and F. Boulanger, “Carrier transport in HfO2/metal gate MOSFETs: physical insight into critical parameters,” IEEE Trans. Electron Devices, 53, pp. 759 (2006).
[9.16] G. Ribes, J. Mitard, M. Denais, S. Bruyere, F. Monsieur, C. Parthasarathy, E. Vincent, and G. Ghibaudo, “Review on high-k dielectrics reliability issues,” IEEE Trans. Devices and Materials reliability, 5, pp.5 (2005).
[9.17] S. Zafar, A. Callegari, E. Gusev, and M. V. Fischetti, “Charge trapping related threshold voltage instabilities in high permittivity gate dielectric stacks” J. Appl. Phys. 93, pp. 9298 (2003).
[9.18] G. Bersuker, J.H. Sim, C.D. Young, R. Choi, P.M. Zeitzoff, G.A. Brown, B.H. Lee, and R.W. Murto, “Effect of Pre-existing defects on reliability Assessment of High-K gate dielectrics,” Microelectron. Reliab. 44, 1509 (2004)
[9.19] Kerber, E. Cartier, L. Pantisano, R. Degraeve, T. Kauerauf, Y. Kim, A. Hou, G. Groeseneken, H.E. Maes, and U. Schwalke, “Origin of the threshold voltage instability in SiO2/HfO2 dual layer gate dielectrics,” IEEE Electron Device Lett., 24, pp. 87 (2003).
[9.20] H. R. Harris, R. Choi, J. H. Sim, C. D. Young, P. Majhi, B. H. Lee, and G. Bersuker, ” Electrical Observation of Deep Traps in High-k/Metal Gate Stack Transistors,” IEEE Electron Device Lett., 26, pp.839 (2005).
[9.21] E. Amat, T. Kauerauf, R. Degraeve, R. Rodríguez, M. Nafría, X. Aymerich, and G. Groeseneken, “Channel Hot-Carrier Degradation in Short-Channel Transistors With High-k/Metal Gate Stacks,” IEEE Trans. Device and Material Reliability, 9, 425 (2009)
[9.22] G. Zhang, C. Yang, H. M. Li, T. Z. Shen, and W. J. Yoo, “Direct Observation of Channel Hot-Electron Energy in Short-Channel Metal-Oxide-Semiconductor Field-Effect Transistors,” in IEEE ICSICT, 894 (2010).
[9.23] C. Hu, Simon C. Tam, F.C. Hsu, P.K. Ko, T.Y. Chan, and K.W. Terrill, “Hot-electron-induced MOSFET degradation—Model, monitor, and improvement,” IEEE Journal of Solid-State Circuits., 20, pp. 295 (1985).
電子全文 Fulltext
本電子全文僅授權使用者為學術研究之目的,進行個人非營利性質之檢索、閱讀、列印。請遵守中華民國著作權法之相關規定,切勿任意重製、散佈、改作、轉貼、播送,以免觸法。
論文使用權限 Thesis access permission:自定論文開放時間 user define
開放時間 Available:
校內 Campus: 已公開 available
校外 Off-campus:永不公開 not available

您的 IP(校外) 位址是 3.139.90.131
論文開放下載的時間是 校外不公開

Your IP address is 3.139.90.131
This thesis will be available to you on Indicate off-campus access is not available.

紙本論文 Printed copies
紙本論文的公開資訊在102學年度以後相對較為完整。如果需要查詢101學年度以前的紙本論文公開資訊,請聯繫圖資處紙本論文服務櫃台。如有不便之處敬請見諒。
開放時間 available 已公開 available

QR Code