Responsive image
博碩士論文 etd-0630113-233417 詳細資訊
Title page for etd-0630113-233417
論文名稱
Title
機械應力對大馬士革鑲嵌金屬/絕緣層/金屬結構之電性研究
Electric characteristics of Metal/Insulator/Metal with Damascene Structure under influence of Mechanical Stress
系所名稱
Department
畢業學年期
Year, semester
語文別
Language
學位類別
Degree
頁數
Number of pages
103
研究生
Author
指導教授
Advisor
召集委員
Convenor
口試委員
Advisory Committee
口試日期
Date of Exam
2013-06-28
繳交日期
Date of Submission
2013-07-31
關鍵字
Keywords
電容、低介電介電層、能障、機械應力、傳導電流
energy barrier, mechanical stress, low-k dielectric, capacitance, leakage currents
統計
Statistics
本論文已被瀏覽 5784 次,被下載 712
The thesis/dissertation has been browsed 5784 times, has been downloaded 712 times.
中文摘要
因應工業綠色環保製程需求傳統IC覆晶技術封裝過程中,焊接的錫球中不可含鉛,故必須在高溫焊接。由於熱漲冷縮之機械應力,造成晶片變形。本論文利用外加機械應力使晶片變形,來研究在不同外加機械應力作用於量測元件下量測分析其電性的傳導機制。其中使用的元件樣品為一個梳狀結構的金屬-絕緣體-金屬(MIM) 電容器樣本,其中有單鑲嵌(SD)及雙鑲嵌(DD)標準工業製程的元件,其金屬導線為銅製程及絕緣層為低介電層(SiOC)結構。
本論文的實驗先將鑲嵌結構的MIM元件,在室溫時由電性測量獲得傳導電流及電容,進而用模具施加應力使得樣本彎曲。在應力作用下量測得受張應力時傳導電流上昇,反之受壓應力時傳導電流下降。另外觀察電容變化得到,隨著張應力增加時電容值會下降,受壓應力增加時電容值會上升。由I-V實驗分析fitting獲得能障差∆Φ及β值。由β來探討對於應力作用下的傳導機制,可得SD的傳導機制為Poole-Frenkel (P-F)的傳導機制,DD的傳導機制符合Schottky emission (SE) 的傳導機制。應力作用影響造成能障的變化,傳導電流受能障的變化而改變。
由C-V實驗結果中得知DD元件中SiOC的電容在壓應力作用時電容上昇,反之張應力作用時電容下降。SD的C-V實驗結果與DD相似,其電容受壓應力作用時上昇,反之張應力作用時下降。因應力作用造成能障的變化,故SD受應力作用的電容變化是受能障的變化的因素。在改變DC Bias的測量時有一個峰值在負電壓位區域處,可得知SD結構的SiOC中有帶正電荷的缺陷存在,且SD是P-F的傳導機制。
DD的電容遠大於SD的電容原因為缺陷能障小於SiOC的能障。在SD中SiOC受張應力與壓應力的能障變化趨勢是一致的,因為電子傳遞於SiC與SiOC的介面缺陷中,其應力作用影響到缺陷的能障變化。而DD的SiOC受張應力作用的能障變化遠大於壓應力作用,可由能障模型解釋之,其與I-V實驗所得之電子傳導行為是一致。
Abstract
Lead (Pb) free processes have been adopted into Wafer Level Chip Scale Package technology in order to meet the requirements of green environmental production, in which Sn solder without Pb is applied for welding. The welding process performing at the higher temperature will cause thermal and mechanical stress into devices. In this thesis a Metal/Insulator/Metal (MIM) capacitor sample with comb structures is fabricated with low k material of SiOC, single damascene (SD) and dual damascene (DD) structure embedded low resistive Cu for metal connections. A mechanical stress experiment by bending samples is performed to better understand the influence of mechanical stress occurring during Back End Of Line (BEOL) on electronic transport in the SiOC dielectric.
Under compress and tensile stress, the leakage current changes, and becomes higher than unstressed samples for tensile stress, and becomes lower for compress stress. The tensile stress causes to decrease capacitance, and on contrast, compress stress increases capacitance. From the fitting of I-V curve the change of energy barrier ΔΦ and parameter β are obtained. From the value of β yields to the electronic transport in SD to be Poole-Frenkel (P-F) emission, and in DD to be Schottky emission (SE). The variation of leakage current is originated from the energy band barrier change induced by mechanical stress.
The C-V measurement of DD results the increase of capacitance of MIM under compress stress and decrease under tensile stress. The result of SD is similar to DD’s. The capacitance of SD MIM increases under compress stress and decreases under tensile stress, too. It is to conclude the variation of capacitance vs. stress is duo to the energy band barrier change induced by mechanical stress. In addition, SD has a maximum capacitance at negative bias region by sweeping the DC Bias. It indicates that a positive charge of defects existing in dielectric of SD MIM. From the change of capacitance yields the electronic transport in SD to be P-F emission.
The capacitance of DD is much larger than SD's, because its defect energy barrier is less than SiOC energy band barrier. The change of SD capacitance by tensile and compress stress is consistent to the leakage current change through energy barrier change for SiOC of SD due to electron transfer via defects in the interface between SiC and SiOC. Tensile and compress stress affects the energy barrier change of defect by the same mechanism. The energy barrier change of SiOC in DD under tensile stress is much larger than that under compress stress, can be interpreted by the energy barrier model of SE.
目次 Table of Contents
Chinese Abstract …………………………………………….. i
English Abstract ……………………………………………. ii
Acknowledgment ……………………………………………. iii
Contents ………………………………………………….... iv
Abbreviations ……………………………………………… vi Table Captions ...……………………………………………… vii
Figure Captions ………………………………………........ viii
Chapter 1 Introduction ………………………………………1
1.1 General Background ……………………………………1
1.2 Experiment Motivation ………………………………...6
1.3 Scheme for Multilevel Interconnect Technologies……...8
Chapter 2 Basic Theory………………………………………15
2.1 Schottky emission Electronic Transport .......................15
2.2 Poole-Frenkel emission Electronic Transport..................19
2.3 Stoney Formula ...............................................................22
Chapter 3 Experimental Procedures ......................................24
3.1 Metal layer structure and dimension parameters of experimental sample.......................................................24
3.2 Wafer Polish experiment procedures .............................27
3.3 Wafer bending experiment procedures ..........................30
3.4 I-V and C-V measurement procedures ............................33
Chapter4 Results and Discussion............................................35
4.1 I-V and C-V Measurement without stress.......................35
4.1.1 DD I-V Electric Characteristics .....................35
4.1.2 SD I-V Electric Characteristics ......................38
4.1.3 C-V Characteristics of DD .................................41
4.1.4 C-V Characteristics of SD .................................42
4.2 I-V Electronic Characteristics under Bending ...............44
4.2.1 Bending I-V Measurement for DD .....................44
4.2.2 Bending I-V Measurement for SD........................53
4.3 C-V Electronic Characteristics under Bending .................62
4.3.1 Bending C-V Measurement for DD.....................62
4.3.2 Bending C-V Measurement for SD ....................65
4.3.3 Bending stress influence energy barrier................68
Chapter 5 Conclusions .............................................................72
References.....................................................................................74
Vita ...............................................................................................82
Publication List ...........................................................................83
參考文獻 References
[1] N. Shigyo, “Tradeoff Between Interconnect Capacitance and RC Delay Variations Induced by Process Fluctuations”, IEEE Trans. Electron Devices, 47, 1740, (2000).
[2] The National Technology Roadmap for Semiconductors, Semiconductor Industry Association, San Jose, CA, 1997.
[3] R. Mangaser, C. Mark, K. Rose, “Interconnect Constraints on BEOL Manufacturing”, In: Advanced Semiconductor Manufacturing Conference and Workshop, 1999 IEEE/SEMI., Boston, Massachusetts, USA, IEEE, 1999, pp. 304.
[4] S. Krishna C., M. Farrokh, “Effect of scaling of interconnections on the time delay of VLSI circuits”, IEEE J. Solid-State Circuits, 17 (2), 275, (1982).
[5] R. Liu, C.-S. Pai, and M. Emilio, “Interconnect technology trend for microelectronics”, Solid-State Electronics, 43 (6), 1003, (1999).
[6] The International Technology Roadmap For Semiconductors, interconnect, 2007.
[7] J. S. Cho, H. K. Kang, C. Ryu, and S. S. Wong, “Reliability of CVD Cu buried interconnections”, In Electron Devices Meeting ,IEEE December 1993. IEDM'93. Technical Digest., International 1993, pp. 265.
[8] P. T. Liu, T. C. Chang, Y. L. Yang, Y. F. Cheng, J. K. Lee, F. Y. Shih, E. Tasi, G. Chen, S. M. Sze, “Improvement on Intrinsic Electrical Properties of Low-k Hydrogen Silsesquioxane/Copper Interconnects Employing Deuterium Plasma Treatment”, J. Electrochem. Soc., 147 (3), 1186, (2000).
[9] T. Furusawa, S. Machida, D. Ryuzki et al., “Novel dissoluble hardmask for damage-less Cu/Low-k interconnect fabrication”, in Proc. Int. Interconnect Technology Conf. Piscataway, NJ, Jun. 2–4, 2003, pp. 195.
[10] A. L. S. Loke, S. S. Wong, N. A. Talwalkar, J. T. Wetzel, P. H. Townsend, T. Tanabe, R. N. Vrtis, M. P. Zussman, D. Kumar, “Evaluation of copper penetration in low-k polymer dielectrics by bias-temperature stresss”, In 1999 MRS Spring Meeting, Symposium N/O, San Francisco, CA, April 7, 1999, pp O6.4.
[11] The International Technology Roadmap For Semiconductors, interconnect, 2009.
[12] K Mosiga, T Jacobsb, K Brennanc, M Rascod, J Wolfe, R Augur, “Integration challenges of porous ultra low-k spin-on dielectrics”, Microelectronic Engineering, 64, 11, (2002).
[13] T. C. Wang , Y. L. Cheng, Y. L. Wang , T. E. Hsieh, G. J. Hwang, C. F. Chen , “Comparison of characteristics and integration of copper diffusion-barrier dielectrics”, Thin Solid Films, 498, 36, (2006).
[14] J. H. Lau, and S.-W. R. Lee, “Effects of Build-Up Printed Circuit Board Thickness on the Solder Joint Reliability of a Wafer Level Chip Scale Package (WLCSP) ”, IEEE Transactions On Components and Packaging Technologies, 25 , 3, (2002).
[15] M. Abtew, G. Selvaduray, “Lead-free Solders in Microelectronics Mulugeta ”, Materials Science and Engineering, 27, 95, (2000).
[16] I. Paul, B. Majeed, K. M. Razeeb, and J. Barton, “Characterizing stress in ultrathin silicon wafers ”, Appl. Phys. Lett., 89, 073506, (2006).
[17] M. P. Renavikar, N. Patel, A. Dani, V. Wakharkar, et al., “Materials Technology for Environmentally Green Micro-electronic Packaging”, Intel Technology Journal, 12 (1), 1, (2008).
[18] C. C. Cheng, W. J. Hsia, J. Pallinti, S. Neumannl, J. Kohl, P. Li, M. Zhu, M. Lu, H. Cui, T. Fujimoto, W. Catahay, P. Wright, “Process Integration of Cu Metallization and Ultra Low k (k=2.2) ”, In Interconnect Technology Conference, 2002. Proceedings of the IEEE 2002 International , pp. 256.
[19] K. Maex, M. R. Baklanov, D. Shamiryan, F. lacopi, S. H. Brongersma, Z. S. Yanovitskaya, “Low dielectric constant materials for microelectronics”, J. Appl. Phys., 93, 8793, (2003).
[20] M. R. Baklanov, J. F. de Marneffe, D. Shamiryan, A. M. Urbanowicz, H. Shi, T. V. Rakhimova, H. Huang, and P. S. Ho, “Plasma processing of low-k dielectrics”, J. Appl. Phys., 113, 041101, (2013).
[21] Makarem A. Hussein, “Materials’ Impact on Interconnect Process Technology and Reliability”, IEEE Transactions on Semiconductor Manufacturing, 18, (1), 63, (2005).
[22] A. L. S. Loke, C. Ryu, C. P. Yue, J. S. H Cho, and S. S. Wong, “Kinetics of Copper Drift in PECVD Dielectrics”, IEEE Electron Device Lett., 17 (12), 549, (1996).
[23] E. M. Zielinski, S. W. Russell, R. S. List, A. M. Wilson, C. Jin, K. J. Newton, J. P. Lu, T. Hurd, W. Y. Hsu, V. Cordasco, M. Gopikanth, V. Korthuis, W. Lee, G. Cerny, N. M. Russell, P. B. Smith, S. O’Brien, and R. H. Havemann, “Damascene integration of copper and ultra-low-k xerogel for high performance interconnects”, Electron Devices Meeting, 1997. IEDM'97. Technical Digest., International. IEEE, 1997, pp. 936.
[24] E. T. Ogawa, K. D. Lee, V. A. Blaschke, and P. S. Ho, “Electromigration Reliability Issues in Dual-Damascene Cu Interconnections”, IEEE Transactions on reliability, 519 (4),403, (2002).
[25] H. Y. Li , Y. J. Su, C. F. Tsang, S. M. Sohan, V. Bliznetsov, L. Zhang, “Process improvement of 0.13 lm Cu/Low K(Black Diamond TM) dual damascene interconnection”, interconnection, Microelectronics Reliability, 45, 1134, (2005).
[26] P. T. Liu, T. C. Chang, J. C. Hu, Y. L. Yang, S. M. Sze, “Reliability of Multistacked Chemical Vapor Deposited Ti/TiN Structure as the Diffusion Barrier in Ultralarge Scale Integrated Metallization”, J. Electrochem. Soc., 147 (1), 368, (2000).
[27] N. Aoi, E. Tamaoka, M. Yamanaka, S. Hirao, T. Ueda, and M. Kubota, “A Novel Clustered Hard Mask Technology for Dual Damascene Multilevel Interconnects with Self-Aligned Via Formation Using an Organic Low k Dielectric”, VLSI Technology, 1999. Digest of Technical Papers. 1999 Symposium on. IEEE, 1999, pp. 41.
[28] C. J. Weng, “Nanotechnology copper interconnect processes integrations for high aspect ratio without middle etching stop layer”, Materials Science in Semiconductor Processing, 13, 56, (2010).
[29] C. J. Weng, “Novel Approach of Semiconductor Manufacturing Process on Copper Dual Damascene Processes Integration”, Strain, 45, 221, (2009).
[30] S. M. Sze, Physics of Semiconductor Devices, 2nd ed., John Wiley & Sons, New York , 1981, pp.250
[31] S. M. Sze, C. R. Crowell, and D. Kahng, “Photoelectric Determination of the Image Force Dielectric Constant for Hot electrons in Schottky Barriers”, J. Appl. Phys., 35, 2534, (1964).
[32] G. Mesa, E. Dobado-Fuentes and J. J. Sa´enz, “Image charge method for electrostatic calculations in field-emission diodes”, J. Appl. Phys. , 79 (1), 39, (1996).
[33] J. C. Schug, A. C. Lilly, Jr., and D. A. Lowitz, “ Schottky Currents in Dielectric Films”, Physics Review B, 1 (12), 811, (1970).
[34] J. G. SIMMONS, “Conduction in thin dielectric films”, J. Phys. D Appl. Phys. , 4, 613, (1971).
[35] P. T. Liua, T. M. Tsai, and T. C. Chang, “Leakage conduction behavior in electron-beam-cured nanoporous silicate films”, Appl. Phys. Lett., 86, 182903, (2005)
[36] S. M. Sze, Physics of Semiconductor Devices, 2nd ed., John Wiley & Sons, New York , 1981, pp.403.
[37] M. Ieda, G. Sawa, and S. Kato, “A Consideration of Poole-Frenkel Effect on Electric Conduction in Insulators”, J. Appl. Phys., 42 (10), 3737, (1971).
[38] W. R. Harrella, J. Frey ,“Observation of Poole-Frenkel effect saturation in SiO2 and other insulating films”, Thin Solid Films, 352, 195, (1999).
[39] W. R. Harrell, J. Frey, “A unified explanation for gate current in n-MOS devices based on hot electrons and the Poole-Frenkel effect”, Microelectron. Eng., 22, 281, (1993).
[40] M. Ohring, “The material science of thin film”, Academic Press, Inc, New York , 1992, pp. 413.
[41] Y. Zhang and Y. Zhao, “Applicability range of Stoney’s formula and modified formulas for a film/substrate bilayer”, J. Appl. Phys., 99, 053513, (2006).
[42] S. Tamulevicius, “Mechanical characterization of a polysiloxane-derived SiOC glass”, Vacuum, 51 (2), 127, (1998).
[43] G. G. Stoney, “The tension of metallic films deposited by electrolysis”, Proceedings of the Royal Society of London, Series A, Containing Papers of a Mathematical and Physical Character, 82 (553), 172, (1909).
[44] C. Moysan, R. Riedel, R. Harshe, T. Rouxel, and F. Augereau, “Mechanical characterization of a polysiloxane-derived SiOC glass”, J. Eur. Ceram. Soc., 27, 397, (2007).
[45] S. Mani, and T. Saif, “Stress development in plasma-deposited silicon dioxide thin-films due to hydrogen evolution”, Thin Solid Films, 515, 3120, (2007).
[46] T. Nakamura, H. Kitada, Y. Mizushima, N. Maeda, K. Fujimoto, and T. Ohba, in 3D Systems Integration Conference (3DIC), 2011 IEEE International., Osaka, Japan, January 31 - February 2, 2012, IEEE. (2012, January) pp. 1-4.
[47] J. Heo, and H. J. Kim, “Investigation into the Structural and Electrical Properties of a-SiCO:H as a Diffusion Barrier to Copper”, J. Electrochem. Soc., 153, F228, (2006).
[48] P. Gonon, A. Sylvestre, H. Meynen, and L. Van Cotthem, “ Permittivity and Conductivity of Low-Dielectric-Constant SiOC:H Films Deposited by Plasma- Enhanced Chemical Vapor Deposition”, J. Electrochem. Soc., 150, F47, (2003)
[49] K. Y. Yiang, W. J. Yoo, Q. Guo, and A. Krishnamoorthy, “Investigation of electrical conduction in carbon-doped silicon oxide using a voltage ramp method”, Appl. Phys. Lett., 83, 524, (2003).
[50] K. H. Cheng, and A. Krishnamoorthy, “Effect of ramp rate on dielectric breakdown in CU–SiOC interconnects”, Thin Solid Films, 462–463, 316, (2004).
[51] B. K. Hwang, M. J. Loboda, G. A. Cemy, R. F. Schneider, and Jeffrely, “The influence of temperature on the deposition of low-k films by PECVD of trimethulsilane”, Electrochemical Society Proceedings Volume 2000-5, pp. 63.
[52] S. W. Chen, Y. S. Wang, S. Y. Hu, W. H. Lee , C. C. Chi and Y. L. Wang, “A Study of Trimethylsilane (3MS) and Tetramethylsilane (4MS)Based α-SiCN:H/ α-SiCO:H Diffusion Barrier Films”, Materials, 5, 377, (2012).
[53] Y. W. Koh, K. P. Loh, L. Rong, A. T. S. Wee, L. Huang, and J. Sudijono, “Low dielectric constant a-SiOC:H films as copper diffusion barrier”, J. Appl. Phys., 93, 1241, (2003).
[54] P.‐T. Liu, T.‐C. Chang, H. Su, Y. L. Yang, H. Chung, J. Hou, Simon‐M. Sze, “Enhancement of organic low-k Hybrid-Organic-Siloxane- Polymer (HOSP) in Resisting Oxygen Plasma Process”, Electrochemical Society Proceedings Volume 2000-5, 2000, pp. 72.
[55] R. G. Southwick, J. Reed, C. Buu, R. Butler, G. Bersuker, and W. B. Knowlton, “Limitations of Poole–Frenkel conduction in bilayer HfO2/SiO2 MOS devices”, IEEE Transactions on device and materials reliability, 10 (2), 201, (2010).
[56] H. Zhou, F. G. Shi, B. Zhao, J. Yota, “Temperature accelerated dielectric breakdown of PECVD low-k carbon doped silicon dioxide dielectric thin films”, Appl. Phys. A, 81, 767, (2005).
[57] D. J. DiMaria and E. Cartier, “Mechanism for stressinduced leakage currents in thin silicon dioxide films”, J. Appl. Phys., 78, 3883, (1995).
[58] Y. L. Yang, T. F. Young, T. C. Chang, J. H. Hsu, T. M. Tsai, F. Y. Jian , and K. C. Chang, “Mechanical Stress Influence on Electronic Transport in Low-k SiOC Dielectric Dual Damascene Capacitor”, IEEE Electron Device Lett., 34 (8), 1056, (2013).
[59] J. Tersoff, “Schottky Barrier Heights and the Continuum of Gap States”, Phys. Rev. Lett., 52 (6), 465, (1984).
[60] D. Brassard and M. A. E. Khakani, “Dielectric properties of amorphous hydrogenated silicon carbide thin films grown by plasma-enhanced chemical vapor deposition”, J. Appl. Phys., 93, 4066, (2003).
[61] P. Deltour, J. L. Barrat, and P. Jensen, “Fast Diffusion of a Lennard-Jones Cluster on a Crystalline Surface”, Phys. Rev. Lett., 78, 4597, (1997).
[62] Y. L. Yang, T. F. Young, T. C. Chang, F. Y. Shen, J. H. Hsu, T. M. Tsai, K. C. Chang, and H. L. Chen, “Mechanical Stress Influence on Electronic Transport in Low-k SiOC Dielectric Single Damascene Capacitor”, Appl. Phys. Lett., 102 (19), 192912, (2013).
[63] K. Hijioka, N. Inoue, I. Kume, J. Kawahara, N. Furutake, H. Shirai, T. Itoh, T. Ogura, K. Kazama, Y. Yamamoto, Y. Kasama, H. Katsuyama, K. Manabe, H. Yamamoto, S. Saito, T. Hase and Y. Hayashi, “A Novel Cylinder-Type MIM Capacitor in Porous Low-k Film (CAPL) for Embedded DRAM with Advanced CMOS Logics”, Electron Devices Meeting (IEDM), 2010 IEEE International, San Francisco, CA , pp. 33.3.1.
[64] C. C. Chiang, I. H. Ko, M. C. Chen, Z. C. Wu, Y. C. Lu, S. M. Jang, and M. S. Liang, “Improvement in Leakage Current and Breakdown Field of Cu-Comb Capacitor Using a Silicon Oxycarbide Dielectric Barrier”, J. Electrochem. Soc. , 151, G606, (2004).
[65] K. Y. Yiang, W. J. Yoo, and A. Krishnamoorthy, “Impact of buried capping layer on electrical stability of advanced interconnects”, J. Vac. Sci. Techn. B, 23 (4), 1499, (2004).
電子全文 Fulltext
本電子全文僅授權使用者為學術研究之目的,進行個人非營利性質之檢索、閱讀、列印。請遵守中華民國著作權法之相關規定,切勿任意重製、散佈、改作、轉貼、播送,以免觸法。
論文使用權限 Thesis access permission:自定論文開放時間 user define
開放時間 Available:
校內 Campus: 已公開 available
校外 Off-campus: 已公開 available


紙本論文 Printed copies
紙本論文的公開資訊在102學年度以後相對較為完整。如果需要查詢101學年度以前的紙本論文公開資訊,請聯繫圖資處紙本論文服務櫃台。如有不便之處敬請見諒。
開放時間 available 已公開 available

QR Code