Responsive image
博碩士論文 etd-0709101-181142 詳細資訊
Title page for etd-0709101-181142
論文名稱
Title
適用於低電源動態隨機存取記憶體 之高速度主放大器與低功率 週邊電路之研究
Study of High Speed Main Amplifier and Low Power Peripheral Circuits for Low Supply Voltage Dynamic Random Access Memory
系所名稱
Department
畢業學年期
Year, semester
語文別
Language
學位類別
Degree
頁數
Number of pages
73
研究生
Author
指導教授
Advisor
召集委員
Convenor
口試委員
Advisory Committee
口試日期
Date of Exam
2001-06-28
繳交日期
Date of Submission
2001-07-09
關鍵字
Keywords
感測放大器、靜態功率降低電路、電壓倍增器、動態隨機存取記憶體
DRAM, standby power reduction, sense amplifier, voltage doubler
統計
Statistics
本論文已被瀏覽 5672 次,被下載 0
The thesis/dissertation has been browsed 5672 times, has been downloaded 0 times.
中文摘要
這篇論文發表了三個應用於低功率動態隨機存取記憶體(DRAM)的高性能電路。 首先,一個使用輔助傳輸閘與電荷再利用技巧的改善多級感測放大器被提出。 傳輸閘取代了多級感測放大器中輔助的NMOS電晶體以改善感測速度。 此外,使用電荷再利用的技巧以減少多級感測放大器的功率消耗。 其與傳統的多級放大器比較之下,其改善了6.1ns (24%) 的感測速度和節省了25.6% 的功率。 第二,一個改善的靜態功率降低 (SPR) 電路被描述。 我們提出的靜態電流截止靜態功率降低 (SCCSPR) 電路使用了電容升壓的技巧,它關閉了SPR電路中永遠導通的MOS電晶體,因此我們設計的電路與傳統SPR電路比較之下,其功率消耗減少了30.9%。 第三,一個改善的電壓倍增電路被發展。 在我們提出的電路中使用了間接開關,其提供較大的閘源極偏壓給PMOS 傳輸電晶體,因此電流驅動能力與升壓速度都被改善了。 在供應電壓2V時,我們改良的電壓倍增電路與傳統的比較之下,其升壓速度大約提升18.6%。

此篇論文中的三個高性能電路被應用在1-Kbit 動態隨機存取記憶體當中。 當供應電壓在2V時,我們可以得到36ns 的存取時間以及52.58mW的總功率消耗。 其與傳統動態隨機存取記憶體相較之下,減少了10.3ns (22.2%) 的存取時間與6.44mW (11%) 的功率消耗。

Abstract
Three high performance circuits for a low power supply DRAM’s are presented in this thesis. First, a modified multi-stage sense amplifier is proposed, that utilizes the auxiliary transmission gate and charge recycling technique. The auxiliary NMOS transistor of the multi-stage sense amplifier is replaced by the transmission gate to improve the sensing speed. In addition, the charge recycling technique is used to reduce the power dissipation of multi-stage sense amplifier. It improves the sensing time by 6.1ns (24.4%) compared to that of the conventional multi-stage sense amplifier and the power saving percentage of 25.6% compared to that of the conventional one. Second, an improved Standby Power Reduction (SPR) Circuit is reported. The capacitor boosting technique is utilized in our proposed Static Current Cut-off Standby Power Reduction (SCCSPR) Circuit, which turns off the always-on MOS transistor of SPR circuit. The power consumption is 30.9% reduced by our design compared to that of the conventional SPR circuit. Third, an improved voltage doubler is developed. The indirect switch is utilized in our proposed circuit, it provides larger gate source bias applied to the PMOS pass transistor. Thus, the current drivability is arisen and the pumping speed is improved as well. In the 2V supply voltage, the pumping speed of our modified voltage doubler is arisen about 18.6% compared to that of the conventional voltage doubler.
These high performance circuits in this thesis are applied in a 1-Kbit DRAM circuits. A data access time of 36ns and total power consumption 52.58mW are attained when the supply voltage is 2V. The access time of 10.3ns (22.2%) and power consumption of 6.44mW (11%) are reduced compared to that of the conventional DRAM.

目次 Table of Contents
Contents
Chapter 1 Introduction.............................................................…….1

Chapter 2 Basic Architecture of DRAM...............…………........3
2.1 Storage Element………………………………………………….3
2.2 Address Decoder.............................…...........................................3
2.3 Sense Amplifier..............................…...........................................7
2.4 Voltage Generator..........................…..........................................10

Chapter 3 Modified Multi-Stage Sense Amplifier……...........15
3.1 Conventional Multi-Stage Sense Amplifier………….................15
3.2 N&PMOS Cross-Coupled Sense Amplifier…............................18
3.3 Improved N&PMOS Cross-Coupled Sense Amplifier…............24
3.4 Simulation Result……………………….....................................27

Chapter 4 The Peripheral Circuits of DRAM….......................33
4.1 The Peripheral Circuits for Reducing Standby Power....…..…...33
4.2 Modified Peripheral Circuit for Reducing Standby Power..…....36
4.3 Modified Voltage Doubler…………………………………...…44

Chapter 5 Simulation Results of the DRAM Using High Performance Circuits Proposed……..........................……..........53

Chapter 6 Conclusion..............................................………….........62

Reference.....................................................................…………..........64

Appendix.....................................................................…………..........67

參考文獻 References
Reference

[1] N. Shibata, H. Morimura and M. Harada, “1-V 100-MHz embedded SRAM techniques for battery-operated MTCMOS SIMOX ASIC’s”, IEEE Journal of Solid State Circuits, vol. 35, No.4, pp.1396-1407, October 2000.
[2] H. Yamauchi, T. Suzuki, A. Swada et al., “A circuit technology for high-speed battery-operated 16-Mb CMOS DRAM's”, IEEE Journal of Solid State Circuits, vol. 28, No.11, pp.1084-1091, November 1993.
[3] L. Kyuchan, D.R. Ruy, C. Kim et al., “Low-voltage, high-speed circuit designs for gigabit DRAMs”, IEEE Journal of Solid State Circuits, vol. 32, No.5, pp.642-648, April 1997.
[4] T. Sakata, M. Horiguchi, T. Sekiguchi et al., “An Experimental 220-MHz 1-Gb DRAM with a Distributed-Column-Control Architecture”, IEEE Journal of Solid State Circuits, vol. 30, No.11, pp.1165-1171, November 1995.
[5] W. Lee, “A 1V DSP for wireless communications”, IEEE International Solid-State Circuits Conference Digest of Technical Papers, pp.92-93, 1997.
[6] M. Izumikawa, “A 0.5-um CMOS 0.9-V 100-MHz DSP core”, IEEE Journal of Solid State Circuits, vol. 32, No.11, pp.52-61, January 1997.
[7] W.M. Regitz, “Three-Transistor-Cell 1024-Bit 500-ns MOS RAM”, IEEE Journal of Solid State Circuits, vol. 5, No.5, pp.181-186, October 1970.
[8] T. Yamagata, S. Tomishima, M. Tsukude et al., “Low Voltage Circuit Design Techniques for Battery-Operated and/or Giga-Scale DRAM’s”, IEEE Journal of Solid State Circuits, vol. 30, No.11, pp.1183-1188, November 1995.
[9] K. Sasaki and Y. Arimoto, “A 9ns 1Mb CMOS SRAM”, IEEE International Solid-State Circuits Conference Digest of Technical Papers, pp.34-35, 1989.
[10] M. Aoki, Y. Hashizumi, H. Masakazu et al., “A 60-ns CMOS DRAM with a Transposed Data-Line Structure”, IEEE Journal of Solid State Circuits, vol. 23, No.5, pp.1113-1119, October 1988.
[11] T. Sunaga, K. Hashimoto, M. Nakasa et al., “A Full Bit Prefetch DRAM Sensing Circuit”, IEEE Journal of Solid State Circuits, vol. 31, No.6, pp.767-772, June 1996.
[12] A. Fujiwara, “A 200MHz 16-Mbit Synchronous DRAM with Block Access Mode”, Symposium on VLSI Circuits Digest of Technical Papers, pp. 79-80, 1994.
[13] N.C. Lu and H.H. Chao, “Half-VDD Bit Line Sensing Scheme in CMOS DRAMs”, IEEE Journal of Solid State Circuits, vol. 19, No.5, pp.451-454, August 1984.
[14] H. Kawamoto and A. Hitayama, “A 288K CMOS Pseudostatic RAM”, IEEE Journal of Solid State Circuits, vol. 19, No.5, pp.619-623, October 1984.
[15] Y. Nakagome, H. Tanaka, Y. Kawamoto et al., “An Experiment 1.5-V 64-Mb DRAM”, IEEE Journal of Solid State Circuits, vol. 26, No.4, pp.465-471, April 1991.
[16] H. Shimada, K. Shinozawa, K. Ishibashi et al., “A 18-ns 1-Mbit CMOS SRAM”, IEEE Journal of Solid State Circuits, vol. 23, No.5, pp.1073-1077, October 1988.
[17] K. Osada and H. Higuchi, “A 2 ns access, 285 MHz, two-port cache macro using double global bit-line pairs”, IEEE International Solid-State Circuits Conference Digest of Technical Papers, pp.402-403, 1997.
[18] H. Nambu, M. Yoshida, T. Hara et al., “A 1.8-ns Access 550-Mhz 4.5-Mb CMOS SRAM”, IEEE Journal of Solid State Circuits, vol. 33, No.115, pp.1650-1658, November 1998.
[19] K. Sasaki, “A 9ns 1Mb CMOS SRAM”, IEEE International Solid-State Circuits Conference Digest of Technical Papers, pp.34-35, 1989.
[20] H. Yamauchi, T. Suzuki, A. Swada et al., “A circuit technology for high-speed battery-operated 16-Mb CMOS DRAM's”, IEEE Journal of Solid State Circuits, vol. 28, No.11, pp.1084-1091, November 1993.
[21] D. Somasekhar and K. Roy, “Differential Current Switch Logic: A Low Power DCVS Logic Family”, IEEE Journal of Solid State Circuits, vol. 31, No.7, pp.981-991, July 1996.
[22] B.S. Kong, J.S. Choi, S.J. Lee et al., “Charge Recycling Differential Logic for Low-Power Application”, IEEE International Solid-State Circuits Conference Digest of Technical Papers, pp.302-303, 1996.
[23] I. Fukushi, R. Swagawa, M. Hanaminato et al., “A low-power SRAM using improved charge transfer sense amplifiers and a dual-Vth CMOS circuit scheme”, Symposium on VLSI Circuits Digest of Technical Papers, pp. 142-145, 1998.
[24] S. Shigematsu, S. Mutoh, Y. Matsuya et al., “A 1-V high-speed MTCMOS circuit scheme for power-down application circuits”, IEEE Journal of Solid State Circuits, vol. 32, No.6, pp.861-869, June 1997.
[25] F. Assaderaghi, S. Parke, D. Sinitsky et. al., “A dynamic threshold voltage MOSFET (DTMOS) for ultra-low voltage operation”, International Electron Devices Meeting Technical Digest, pp.1-4 , 1994,
[26] M.W. Allam, M.H. Anis and M.I. Elmasry, “High-speed dynamic logic styles for scaled-down CMOS and MTCMOS technologies”, Proceedings of the 2000 International Symposium on Low Power Electronics and Design, pp.155-160, 2000.
[27] K. Kumagai, H. Iwaki, H. Yoshida et. al., “A Novel Powering-down Scheme for Low Vt CMOS Circuits”, Symposium on VLSI Circuits Digest of Technical Papers, pp.44-45, 1998.
[28] H. Kawaguchi, Y. Itaka and T. Sakurai, “Dynamic Leakage Cut-off Scheme for Low-Voltage SRAM’s”, Symposium on VLSI Circuits Digest of Technical Papers, pp.140-141, 1998.
[29] K. Seta, H. Hara, T. Kuroda et al., “50% Active-Power Saving without Speed Degradation using Standby Power Reduction (SPR) Circuit”, IEEE International Solid-State Circuits Conference Digest of Technical Papers, pp.318-319, 1995
[30] H. Tanaka and Y. Kawamoto, “An 1.5V 64Mb DRAM”, IEEE Journal of Solid State Circuits, vol. 26, No.4, pp.465-471, April 1991.
[31] P. Favrat, P. Deval and M.J. Declercq, “A New High Efficiency CMOS Voltage Doubler”, IEEE Custom Integrated Circuits Conference, pp.259-262, 1997.
[32] A. Masakazu, Y. Nakagome, M. Horiguchi et al., “An Experimental 16Mb DRAM with Transposed Data-Line Structure”, IEEE International Solid-State Circuits Conference Digest of Technical Papers, pp.250-251, 1988

電子全文 Fulltext
本電子全文僅授權使用者為學術研究之目的,進行個人非營利性質之檢索、閱讀、列印。請遵守中華民國著作權法之相關規定,切勿任意重製、散佈、改作、轉貼、播送,以免觸法。
論文使用權限 Thesis access permission:校內校外均不公開 not available
開放時間 Available:
校內 Campus:永不公開 not available
校外 Off-campus:永不公開 not available

您的 IP(校外) 位址是 18.216.233.58
論文開放下載的時間是 校外不公開

Your IP address is 18.216.233.58
This thesis will be available to you on Indicate off-campus access is not available.

紙本論文 Printed copies
紙本論文的公開資訊在102學年度以後相對較為完整。如果需要查詢101學年度以前的紙本論文公開資訊,請聯繫圖資處紙本論文服務櫃台。如有不便之處敬請見諒。
開放時間 available 已公開 available

QR Code