Responsive image
博碩士論文 etd-0713104-142834 詳細資訊
Title page for etd-0713104-142834
論文名稱
Title
在矽基板上成長高介電常數低漏電流二氧化鈦薄膜
High Dielectric Constant and Low Leakage Current TiO2 Thin Films on Silicon
系所名稱
Department
畢業學年期
Year, semester
語文別
Language
學位類別
Degree
頁數
Number of pages
109
研究生
Author
指導教授
Advisor
召集委員
Convenor
口試委員
Advisory Committee
口試日期
Date of Exam
2004-06-29
繳交日期
Date of Submission
2004-07-13
關鍵字
Keywords
液相沈積法、有機金屬化學氣相沈積法、二氧化鈦
MOCVD, TiO2, LPD
統計
Statistics
本論文已被瀏覽 5782 次,被下載 3068
The thesis/dissertation has been browsed 5782 times, has been downloaded 3068 times.
中文摘要
當元件尺寸不斷地縮小,採用高介電係數材料取代傳統的二氧化矽已是刻不容緩的事。而二氧化鈦由於具有高介電常數、高折射率、高化學穩定性,故適合應用在動態記憶體中電容的介電材料。
我們採用水平、低壓、冷壁式之有機金屬化學氣相沈積法來成長二氧化鈦薄膜,採用的原料為Ti(i-OC3H7)4,並以N2O作為氧化氣體。成長溫度從400℃到650℃。由X光繞射的結果得知二氧化鈦薄膜為多晶結構且銳鈦礦相與金紅石相共存的溫度約為650℃。而成長溫度明顯地影響二氧化鈦薄膜的電性,在經由熱退火處理後電性也有顯著的改善。我們發現在650℃成長的二氧化鈦薄膜,經由在O2中750℃的熱退火處理20分後具有最高的介電常數為100.3,而在550℃成長的二氧化鈦薄膜,經由在O2中750℃的熱退火處理20分後則具有最小的漏電流。此外,我們將二氧化矽薄膜利用液相沈積法成長在有機金屬化學氣相沈積二氧化鈦薄膜上,發現此方法可以有效地降低漏電流並保有高的介電係數。
Abstract
As the electronic device scale down, replacing conventional SiO2 with high dielectric constant material is very important. Due to its have high dielectric constant (ε// = 170, ε⊥ = 90), high refractive index (~2.5) and high chemical stability. TiO2 is a promising candidate for fabricating thin dielectrics in dynamic random access memory (DRAM) storage capacitors and as gate dielectrics of metal-oxide-semiconductor field effect transistor (MOSFET) without the problem of conventional SiO2 thickness scaling down in ULSI processes because of its high dielectric constant.
TiO2 thin films deposited on p-type (100) Si substrate were investigated by a cold wall horizontal MOCVD system using Ti(i-OC3H7)4, N2O as precursors in the deposition temperature range from 400 ℃ to 650 ℃.
XRD results indicate that the structures of TiO2 films are polycrystalline and mixture of anatase and rutile phases coexist in the film at the deposition temperature of 650 ℃. Electrical properties are strongly influenced by deposition temperature. The electrical properties of as-deposited TiO2 films can be improved by annealing treatment. The TiO2 film at the deposition temperature of 650 ℃ has the highest dielectric constant of 100.3 and at the deposition temperature of 550 oC has the lowest leakage current density of 2.07×10-7 A/cm2 under the applied electric field of 5 MV/cm after annealing for 20 minutes at 750 ℃ in O2 ambient.
In order to obtain the better electrical properties of TiO2 films on Si substrate, LPD-SiO2 thin films were deposited on the polycrystalline MOCVD-TiO2 films. The minimum equivalent oxide thickness of LPD-SiO2/post-annealed TiO2 film is 51.13
目次 Table of Contents
1.Introduction......................1
1-1 Developments in DRAM............1
1-2 Properties of TiO2............. .2
1-3 Comparison of deposition methods of TiO2 .....4
1-4 Advantages of MOCVD..............4
1-5 Experiment Motivation of LPD-SiO2/MOCVD-TiO2/Si
structure...................... 5
2.Experiments.......................7
2-1 CVD theorem...................7
2-2 Deposition system of MOCVD..... 8
2-3 Properties of metalorganic precursors.........9
2-3-1 Ti metalorganic precursor...................9
2-3-2 N2O decomposed..............................9
2-4 Deposition procedures.........................10
2-4-1 Si wafer cleaning procedures................10
2-4-2 Aluminum metal wafer cleaning processes.....11
2-4-3 Preparations of TiO2 films..................11
2-5 Characterization..............................11
2-5-1 Physical properties.........................11
2-5-2 Chemical properties.........................12
2-5-3 Electrical properties.......................13
3.Results and Discussion..........................18
3-1 Dependence of properties on deposition
temperature...................................18
3-1.1 Thickness and growth rate as a function of
deposition temperature......................18
3-1.2 XRD patterns as a function of deposition
temperature.................................19
3-1.3 SEM morphologies as a function of deposition
temperature.................................20
3-1.4 AFM surface roughness of TiO2 films as a function of
deposition temperature......................20
3-1.5 SIMS depth profile of TiO2 films as a function of
deposition temperature......................21
3-1.6 ESCA analyses of TiO2 films as a function of
deposition temperature......................22
3-1.7 Dependence electrical properties of TiO2 films on
deposition temperature......................24
3-1.7.1 Leakage current density as a function of
deposition temperature......................24
3-1.7.2 C-V characteristics and dielectric constant of
TiO2 films as a function of
depositiontemperature.....................25
3-2 Improvement in electrical properties of as- deposited
TiO2 films by annealing treatment.............28
3-2.1 ESCA analyses of post-annealed TiO2 films of as a
function of deposition temperature..........29
3-2.2 Investigation of electrical properties of as-
deposited TiO2 by annealing treatment.......30
3-3 LPD-SiO2/ MOCVD-TiO2 /Si structure............32
3-3.1 SIMS depth profile of LPD-SiO2/MOCVD-TiO2/Si
structure...................................34
3-3.2 FTIR of LPD-SiO2/ MOCVD-TiO2 film...........34
3-3.3 Electrical properties of LPD-SiO2/ MOCVD-TiO2/Si
structure...................................34
4.Conclusions.....................................37
REFERENCES........................................39
FIGURES...........................................47~104
TABLES............................................105~109
參考文獻 References
[1] John J. Sullivan, Bin Han, “Metalorganic chemical vapor deposition of titanium oxide for microelectronics applications,” J. Mater. Res., vol. 16, No. 6, pp. 1838-1849, 2001.
[2] Y. H. Lee, K. K. Chan, and M. J. Brady, “Plasma enhanced chemical vapor deposition of TiO2 in microwave-radio frequency hybrid plasma reactor,” J. Vac. Sci. & Technol., vol. 13, pp. 596-601, 1995.
[3] The Oxide Handbook, ed. G. V. Samsonov ( IFI/Plenum, New York), p. 316, 1973.
[4] J. Yan, D. C. Gilmer, S. A. Campbell. W. L. Gladfelter, and R. G. Schmid, “Structural and electrical characterization of TiO2 grown from titanium tetrakis-isopropoxide (ttip) and ttip/H2O ambients”,J. Vac. Sci. & Technol., vol. B14, pp. 1706-1711, 1996.
[5] M. A. Butler and D. S. Ginley, “Principles of photoelectrochemical solar-energy conversion,” J. Mater. Sci., vol. 15, pp 1-19, 1980.
[6] T. Carlson and G. L. Griffin, “Photooxidation of methanol using V2O5/TiO2 and MoO3/TiO2 surface oxide monolayer catalysts,” J. Phys. Chem. , vol. 90, pp.5896-5900, 1986.
[7] X. R. Wang, H. Masumoto, Y. Someno, and T. Hirai, “Optical characterization of SiO2-TiO2 thin-films with graded refractive-index profiles,” Journal of the JapanInstitute of Metals, vol. 62, pp. 1069-1074, 1998.
[8] X. R. Wang, H. Masumoto, Y. Someno, and T. Hirai, “Helicon plasma deposition of a TiO2/SiO2 multilayer optical filter with graded refractive-index profiles,” Appl. Phys. Lett., vol. 72, pp. 3264-3266, 1998.
[9] C. Martinet, V. Paillard, A. Gagnaire, and J. Joseph, “Deposition of SiO2 and TiO2 thin-films by PECVD for antireflection coating,” J. Non-Cryst. Solids, vol. 216, pp. 77-82, 1997.
[10] K. Hara, K. Sayama, Y. Ohga, A. Shinpo, S. Suga, and H. Arakawa, “A coumarin-derivative dye-sensitized nanocrystalline TiO2 solar-cell having a high solar-energy conversion efficiency up to 5.6-percent” Chemical Communications, pp. 569-570, 2001.
[11] A. Bahtat, M. Bouderbala, M. Bahtat, M. Bouazaoui, J. Mugnier, and M. Druetta, “Structural characterization of Er3+ doped sol-gel TiO2 planar optical wave-guides” Thin Solid Films, vol. 323, pp. 59-62, 1998.
[12] N. Goutev, Z. S. Nickolov, and J. J. Ramsden, “Wave-guide Raman-Spectroscopy of Si(Ti)O2 thin-films with grating coupling,” J. Raman Spectrosc., vol. 27, pp. 897-900, 1996.
[13] S. D. Mo and W. Y. Ching, “Electronic and optical-properties of three phases of titanium-dioxide - rutile, anatase, and brookite,” Physical Review B-Condensed Matter, vol. 51, pp. 13023-13032, 1995.
[14] D. J. Won, C. H. Wang, H. K. Jang, and D. J. Choi, “Effects of thermally induced anatase-to-rutile phase transition in MOCVD-grown TiO2 films on structural and optical properties,” Appl. Phys. A, vol. 73, pp. 595–600, 2001.
[15] A. L. Linsebigler, G. Q. Lu, and J. T. Yates, “Photocatalysis on TiO2 surfaces - principles, mechanisms, and selected results,” Chemical Reviews, vol. 95, pp. 735-758, 1995.
[16] H. Tang, K. Prasad, R. Sanjines, P. E. Schmid, and F. Levy, “Electrical and optical-properties of TiO2 anatase thin-films,” J. Appl. Physi., vol. 75, Iss 4, pp. 2042-2047, 1994.
[17] N. Daude, C. Goutm, and C. Jouanin, Phys. Rev. B 15, pp.3229, 1977
[18] G. S. Brady and H. R. Clauser: Materials Handbook, 13th ed. (McGraw-Hill, New York 1991)
[19] G. K. Boschloo, A. Goossens, and J. Schoonman, “Investigation of the potential distribution in porous nanocrystalline TiO2 electrodes by electrolyte electroreflection,” Joural of Electroanalytical Chemistry, vol. 428, pp. 25-32, 1997.
[20] Masaru Kadoshima, Masahiko Hiratani, Yasuhiro Shimamoto, Kazuyoshi Torii, Hiroshi Miki, Shinichiro Kimura, and Toshihide Nabatame, “Rutile-type TiO2 thin film for high-k gate insulator,” Thin Solid Films, vol. 424, pp.224-228, 2003.
[21] National Institute of Standards and Technology, Phase Equilibrium Diagrams, ver.2.1, The American Ceramic Society, Westerville, 1998, Fig. 4258.
[22] J. M. Criado and C. Real, J. Soria, Solid State Ionics, vol. 32/33, p.461, 1989.
[23] R.D. Shannon and J.A. Pask, J. Am. Ceram. Soc. vol. 48, p. 391, 1965.
[24] R. S. Sonawane, S. G. Hegde, and M. K. Dongare, “Preparation of titanium(iv) oxide thin-film photocatalyst by sol-gel dip coating,” Mater. Chem. Phys., vol. 77, pp. 744-750, 2003.
[25] O. Harizanov and A. Harizanova, “Development and investigation of sol–gel solutions for the formation of TiO2 coatings,” Solar Energy Materials and Solar Cells, vol. 63, pp. 185-195, 2000.
[26] R. A. Zoppi , B. C. Trasferetti, and C. U. Davanzo, “Sol–gel titanium dioxide thin films on platinum substrates: preparation and characterization,” J. Electroanalytical Chemistry, vol. 544, pp.47-57, 2003.
[27] G. Sanvicente, A. Morales, and M. T. Gutierrez, “Preparation and characterization of sol–gel TiO2 antireflective coatings for silicon,” Thin Solid Films, vol. 391, pp. 133-137, 2001.
[28] C. Garzella, E. Comini, E. Tempesti, C. Frigeri, and G. Sberveglieri, “TiO2 thin films by a novel sol–gel processing for gas sensor applications,” Sensors and Actuators B-Chemical, vol. 68, pp. 189-196, 2000.
[29] S. C. Chiao, B. G. Bovard, and H. A. Macleod, “Repeatability of the composition of titanium oxide films produced by evaporation of Ti2O3,” Applied Optics-OT, vol. 37, pp.5284-5290, 1998.
[30] D. Mergela, D. Buschendorfa, S. Eggerta, R. Grammesb, and B. Samsetc, “Density and refractive index of TiO2 films prepared by reactive evaporation,” Thin Solid Films, vol. 371, pp.218-224, 2000.
[31] S. G. Springer, P. E. Schmid, R. Sanjines, and F. Levy, “Morphology and electrical properties of titanium oxide nanometric multilayers deposited by DC reactive sputtering,” Surface & Coatings Technology, vol. 151, pp. 51-54, 2002.
[32] P. Zeman and S. Takabayashi, “Effect of total and oxygen partial pressures on structure of photocatalytic TiO2 films sputtered on unheated substrate,” Surf. Coat. Technol., vol. 153, pp.93-99,2002
[33] T. M. Wang, S. K. Zheng, W. Hao, and C. Wang, “Studies on photocatalytic activity and transmittance spectra of TiO2 thin-films prepared by R.F. magnetron sputtering method,” Surf. Coat. Technol., vol. 155, pp. 141-145, 2002.
[34] C. Martinet, V. Paillard, A. Gagnaire, and J. Joseph, “Deposition of SiO2 and TiO2 thin films by plasma enhanced chemical vapor deposition for antireflection coating,” J. Non-Cryst. Solids, vol. 216, pp. 77-82, 1997.
[35] G. A. Battiston, R. Gerbasi, A. Gregori, M. Porchia, S. Cattarin, and G. A. Rizzi-GA, “PECVD of amorphous TiO2 thin films: effect of growth temperature and plasma gas composition,” Thin Solid Films, vol. 371, pp. 126-131, 2000.
[36] N. C. Dacruz, E. C. Rangel, J. J. Wang, B. C. Trasferetti, C. U. Davanzo, Castro-SGC, and Demoraes-MAB, “Properties of titanium-oxide films obtained by PECVD,” Surf. Coat. Technol., vol. 126, pp. 123-130, 2000.
[37] S. S. Huang and J. S. Chen, “Comparison of the characteristics of TiO2 films prepared by low-pressure and plasma-enhancedchemical-vapor-deposition,” J. Mater. Sci. -Materials in Electronics, vol. 13, pp. 77-81, 2002.
[38] S. Yamamoto, T. Sumita, Sugiharuto, A. Miyashita, and H. Naramoto, “Characterization of epitaxial TiO2 films prepared by pulsed laser deposition,”Thin Solid Films, vol. 401, pp. 88-93, 2001.
[39] D. G. Syarif, A. Miyashita, T. Yamaki, T. Sumita, Y. Choi, and H. Itoh, “Preparation of anatase and rutile thin-films by controlling oxygen partial-pressure,” Appl. Surf. Sci., vol. 193, pp. 287-292, 2002.
[40] R. Paily, A. Dasgupta, N. Dasgupta, P. Bhattacharya, P. Misra, T. Ganguli, L. M. Kukreja, A. K. Balamurugan, S. Rajagopalan, and A. K. Tyagi, “Pulsed-laser deposition of TiO2 for MOS gate dielectric,” Appl. Surf. Sci., vol. 187, pp. 297-304, 2002.
[41] C. K. Ong and S. J. Wang, “In-situ RHEED monitor of the growth of epitaxial anatase TiO2 thin-films,” Appl. Surf. Sci., vol. 185, pp. 47-51, 2001.
[42] W. Sugimura, T. Yamazaki, H. Shigetani, J. Tanaka, and T. Mitsuhashi, “Anatase-type TiO2 thin-films produced by lattice deformation,” Jpn. J. Appl. Phys. Part 1-Regular Papers Short Notes & Review Papers, vol. 36, pp. 7358-7359, 1997.
[43] M. K. Lee, J. J. Huang, C. M. Shih, and C. C. Cheng, “Properties of TiO2 thin-films on InP substrate prepared by liquid-phase deposition,” Jpn. J. Appl. Phys. Part 1-Regular Papers Short Notes & Review Papers, vol. 41, pp. 4689-4690, 2002.
[44] M. K. Lee and B. H. Lei, “Characterization of titanium-oxide films prepared by liquid-phase deposition using hexafluorotitanic acid,” Jpn. J. Appl. Phys. Part 2-Letters, vol. 39, pp. L101-L103, 2000.
[45] X. P. Wang, Y. Yu, X. F. Hu, and L. Gao, “Hydrophilicity of TiO2 films prepared by liquid-phase deposition,” Thin Solid Films, vol. 371, pp. 148-152, 2000.
[46] P. Babelon, A. S. Dequiedt, H. Mostefasba, S. Bourgeois, P. Sibillot, and M. Sacilotti, “SEM and XPS studies of titanium-dioxide thin-films grown by MOCVD,” Thin Solid Films, vol. 322, pp. 63-67, 1998.
[47] S. C. Sun and T. F. Chen, “Effects of electrode materials and annealing ambients on the electrical-properties of TiO2 thin-films by metalorganic chemical-vapor-deposition,” Jpn. J. Appl. Phys. Part 1-Regular Papers Short Notes & Review Papers, vol. 36, pp. 1346-1350, 1997.
[48] C. K. Jung, B. C. Kang, H. Y. Chae, Y. S. Kim, M. K. Seo, S. K. Kim, S. B. Lee, J. H. Boo, Y. J. Moon, and J. Y. Lee, “Growth of TiO2 thin-films on Si(100) and Si(111) substrates using single molecular precursor by high-vacuum MOCVD and comparison of growth-behavior and structural-properties,” J. Cryst. Growth, vol. 235, pp. 450-456, 2002.
[49] M. K. Lee, Y. M. Hung, and J. J. Huang, “Properties of TiO2 thin-films on InP substrate prepared by MOCVD,” Jpn. J. Appl. Phys. Part 1-Regular Papers Short Notes & Review Papers, vol. 40, pp. 6543-6546, 2001.
[50] A. Tuan, M. Yoon, V. Medvedev, Y. Ono, Y. Ma, and J. W. Rogers, “Interface control in the chemical-vapor-deposition of titanium-dioxide on silicon(100),” Thin Solid Films, vol. 377, pp. 766-771, 2000.
[51] B. C. Kang, S. B. Lee, and J. H. Boo, “Growth of TiO2 thin-films on Si(100) substrates using single molecular precursors by metal-organic chemical-vapor-deposition,” Surf. Coat. Technol., vol. 131, pp. 88-92, 2000.
[52] D. H. Lee, Y. S. Cho, W. I. Yi, T. S. Kim, J. K. Lee, and H. J. Jung, “Metalorganic chemical-vapor-deposition of TiO2-N anatase thin-film on Si substrate”, Appl. Phys. Lett. , vol. 66, pp. 815-821, 1995.
[53] A. Turkovic, M. Ivanda, A. Drasner, V. Vranesa and M. Persin, “Raman-spectroscopy of thermally annealed TiO2 thin films”, Thin Solid Films, vol. 198, pp. 199-205, 1991.
[54] H. S. Kim, D. C. Gilmer, S. A. Campbell, and D. L. Polla, “Leakage current and electrical breakdown in metal-organic chemical-vapor-deposited TiO2 dielectrics on silicon substrates”, Appl. Phys. Lett. , vol. 69, pp 3860-3862, 1996.
[55] S. A. Campbell, D. C. Gilmer, X. C. Wang, M. T. Hsieh, H. S. Kim, W. L. Gladfelter, and J. H. Yan, “MOSFET transistors fabricated with high permitivity TiO2 dielectrics”, IEEE Tran. Electron Devices, vol. 44, pp. 104-109, 1997.
[56] G. Stringfellow, “Organometallic vapor phase epitaxy: Theory and Practice, Academic Press, Boston, 1989.
[57] D. C. Gilmer, X. C Wang, M. T. Hsieh, H. S. Kim, W. L. Glasfelter and J. Yan: IEEE Trans. Electron Devices, 44 (1997) 104.
[58] J. Robertson, “Electronic structure and band offsets of high-dielectric-constant gate oxides,”MRS Bulletin Mar, 217 (2002).
[59] K. Matsuo, K. Nakajima, S. Omoto, S. Nakamura, A. Yagishia, G. Minamihaba, H. Yano and K. Suguro: Jpn. J. Appl. Phys. 39 (2000) 5794.
[60] Z. J. Luo, Xin Guo, and T. P. Ma, “Temperature dependence of gate currents in thin Ta2O5 and TiO2films,” Appl. Phys. Lett., vol. 79, No. 17, pp. 2803-1849, 2001.
[61] James D. Plummer, Michael D. Deal, and Peter B. Griffin, Silicon VLSI Technology, p. 512, 2000.
[62] Y. S. Yoon, W. N. Kang, H. S. Shin, S. S. Yom, T. W. Kim, J. Y. Lee, D. J. Choi, and S. S. Baek, “Structural properties of BaTiO3 thin films on Si grown by metalorganic chemical vapor deposition,” in J. Appl. Phys., vol. 73, no. 3, pp. 1547-1549, 1993.
[63] W. S. Lau, P. W. Qian, N. P. Sandler, K. A. Mckinley, and P. K. Chu, “Evidence that N2O is a stronger oxidizing-agent than O2 for the postdeposition annealing of Ta2O5 on Si capacitors,” Jpn. J. Appl. Phys. Part 1-Regular Papers Short Notes & Review Papers, vol. 36, pp. 661-666, 1997.
[64]“Powder Diffraction File,” Joint committee on powder diffraction standards.
[65] Y. S. Kim, M. Y. sung, Y. H. Lee, B. K. Ju, and M. h. Oh, “The Influence of Surface Roughness on the Rlrctric Conduction Process in Amorphous Ta2O5 Thin Films,” J. Electrochemical Soc., vol. 146(9), pp. 3398-3402, 1999.
[66] S. F. Chen, C. W. Wang, “Effect of deposition temperature on the conduction mechanisms and reliability of radio frequency sputtered TiO2 thin films,” J. Vac. Sci. Technol. B, vol. 20(1), pp. 263-270, 2002.
[67] Y. Jeon, B. H. Lee, K. Zawadzki, W. J. Qi, A. Lucas, R. Nieh and J. C. Lee, “Effect of Barrier Layer on the Electrical and Reliability Characteristics of High-k Gate Dielectric films,” IEDM, pp. 797-800, 1998.
[68] K. A. Eliis, R. A. Bunhrman, “Nitrous oxide (N2O) processing for silicon oxynitride gate dielectrics,” IBM J. RES. DEVELOP., vol. 43, no. 3, pp. 287-300, 1999.
[69] Jeshik Shin, Sanghun Jeon, and Hyunsang Hwang, “Electrical Characteristics of High-K Metal Oxide/SiO2 Stack Gate Dielectric prepared by Reaction of Metal with SiO2,” J. Electronanalytical Chemistry, vol. 147(1), pp.F1-F3, 2000.
[70] V.G. Erkov, S. F. Devyatova, E.L. Molodstova, T.V. Malsteva and U.A. Yanovskil, “Si-TiO2 interface evolution at prolonged annealing in low vacuum or N2O ambient,” Appl. Surf. Sci., vol. 166, pp.51-56, 2000.
[71] S. C. Li, and S. P. Murarka, “Electrical Characteristics and hydrogen concentration of chemical vapor deposited silicon dioxide films: Effect of water treatment,” J. Appl. Phys, vol. 72(9), pp.4214-4219, 1992.
[72] E. G. Stein von Kamienski, F. Portheine, J. Stein, A. Golz, and H. Kurz, “Charge trapping in dry and wet oxides on N-type 6H-SiC studied Fowler-Nordheim charge injection,” J. Appl. Phys, vol. 79(5), pp.2529-2534, 1996.
[73] L. M. Terman, “An Investigation of Surface States at a Silicon/Silicon Oxide Interface Employing Metal-Oxide-Silicon Diodes,” Solid-State Electronics., vol. 5, pp. 285-299, 1962.
[74] T. Sakurai and T. Sugano, “Theory of continuously distributed trap states at Si-SiO2 interfaces,” J. Appl. Phys., vol. 52, pp. 2889-2896,1981.
[75] C. F. Yeh, Shyue Shyh Lin, “Effects of Plasma Treatment on The
Properties of Room-Temperature Liquid-Phase Deposited (LPD)
Oxide Films,” J. Non-Cryst. Solids, vol. 187, pp. 81-85, 1995.
[76] H. Fukuda, M. Yasuda, and T. Lwabuchi, “Process Dependence of the SiO2/Si(100) Interface Trap Density of Ultrathin SiO2 films,” J.Appl. Phys., vol. 72, pp. 1906-1911, 1992.
電子全文 Fulltext
本電子全文僅授權使用者為學術研究之目的,進行個人非營利性質之檢索、閱讀、列印。請遵守中華民國著作權法之相關規定,切勿任意重製、散佈、改作、轉貼、播送,以免觸法。
論文使用權限 Thesis access permission:校內外都一年後公開 withheld
開放時間 Available:
校內 Campus: 已公開 available
校外 Off-campus: 已公開 available


紙本論文 Printed copies
紙本論文的公開資訊在102學年度以後相對較為完整。如果需要查詢101學年度以前的紙本論文公開資訊,請聯繫圖資處紙本論文服務櫃台。如有不便之處敬請見諒。
開放時間 available 已公開 available

QR Code