Responsive image
博碩士論文 etd-0718117-143503 詳細資訊
Title page for etd-0718117-143503
論文名稱
Title
低功率具共享摻雜之非傳統凹入閘極互補式金氧半
Low Power Non-Classical Recessed-Gate CMOS Inverter with Unique Shared Contact
系所名稱
Department
畢業學年期
Year, semester
語文別
Language
學位類別
Degree
頁數
Number of pages
145
研究生
Author
指導教授
Advisor
召集委員
Convenor
口試委員
Advisory Committee
口試日期
Date of Exam
2017-07-27
繳交日期
Date of Submission
2017-08-22
關鍵字
Keywords
凹入閘極、功率消耗、低操作偏壓、碰穿、互補式金氧半
CMOS, Recessed gate, Power dissipation, Low power supply, Punch through
統計
Statistics
本論文已被瀏覽 5690 次,被下載 48
The thesis/dissertation has been browsed 5690 times, has been downloaded 48 times.
中文摘要
在本論文提出了一種具有低操作偏壓,應用於非傳統凹入閘極共享摻雜互補式金氧半反向器稱為凹入閘極共享摻雜互補式金氧半(RUCMOS)。我們設計一個凹入閘極NMOS (RNMOS)與凹入閘極碰穿NMOS (RPTNMOS)形成RUCMOS,這兩顆電晶體在源極與汲極有相同的摻雜,凹槽架構可以克服短通道效應,凹入閘極碰穿N型電晶體取代傳統的P型電晶體,使用碰穿機制具有開關能力並可以明顯地改善擺幅與電性。
根據模擬軟體在10 nm時,RNMOS和RPTNMOS分別在0.3 V,達到63 mV/dec 和65 mV/dec,開啟電流與關閉電流比(ION/IOFF)達到104,在傳輸延遲時間上,RUCMOS比CMOS快47.2 %,同時功率消耗比CMOS低59.6 %。除此之外,於CMOS相比RUCMOS的局部面積減少48 %,RUCMOS的7級振盪器可以達到287MHz,CMOS只有35.7MHz。
Abstract
This thesis presents a non-classical recessed-gate CMOS inverter with unique shared contact and low power supply applications, which called recessed-gate unique-shared CMOS (RUCMOS). We design a recessed-gate NMOS (RNMOS) and a Recessed-gate Punch-Through NMOS (RPTNMOS) to form the RUCMOS. These two transistors have the same low doping source, and drain. RNMOS having recessed structure can greatly overcome short channel effects. RPTNMOS replaces the conventional PMOS, which uses punch through mechanism for on/off behavior. It also has recessed structure which can improve its subthreshold swing and performance significantly. According to the TCAD simulation, the subthreshold swing of 10 nm RNMOS and 10 nm RPTNMOS are 63 mV/dec and 65 mV/dec respectively at power supply VD = 0.3 V. The ION/IOFF of the RUCMOS can be close to 104, which is almost the same as that of RUNOS. Also, RUCMOS inverter exhibits the propagation delay time (TP) 47.2 % faster than that of the conventional CMOS inverter. Meanwhile, the power dissipation is 59.6 % lower than that of the conventional CMOS inverter. Besides, the layout area of the novel inverter is significantly reduced about 48% when compared with the conventional CMOS inverter. For 7-stages RUCMOS ring counter, the oscillator frequency can achieve up to 287 MHz rather than 35.7 MHz for its CMOS counterpart.
目次 Table of Contents
中文審定書 i
英文審定書 ii
致 謝 iii
摘  要 iv
Abstract v
目 錄 vi
圖目錄 ix
表目錄 xvi
第一章、導論 1
1.1 研究背景 1
1.2 動機 5
第二章、物理機制與元件操作原理 6
2.1 元件物理機制 6
2.2 傳統互補式金氧半反向器元件 7
2.2.1 傳統互補金氧半反向器操作機制 8
2.3 碰穿機制的理論 14
2.4 凹入閘極架構應用與機制 15
2.4.1 凹入閘極碰穿機制 16
2.4.2 凹入閘極反轉機制 19
2.4.3 非傳統凹入閘極共享摻雜互補式金氧半反向器 22
第三章、元件架構設計與製程方法 24
第四章、電性討論與分析結果 27
4.1 元件模擬與物理模型說明 28
4.2 RUCMOS電性討論 29
4.2.1 RPTNMOS電性討論 29
4.2.2 RNMOS電性討論 31
4.3 RUCMOS幾何分析 33
4.3.1 RPTNMOS超薄本體於凹入閘極深度與偏壓探討 33
4.3.2 RPTNMOS薄本體於凹入閘極深度與偏壓探討 36
4.3.3 RPTNMOS厚本體於凹入閘極深度與偏壓探討 39
4.3.4 RPTNMOS於不同厚度本體與凹入閘極深度比較 42
4.4 RNMOS模擬幾何分析與電性討論 43
4.4.1 RNMOS超薄本體於凹入閘極深度與偏壓探討 43
4.4.2 RNMOS薄本體於凹入閘極深度與偏壓探討 46
4.4.3 RNMOS於凹入閘極深度與偏壓探討 49
4.4.4 RNMOS於不同厚度本體與凹入閘極深度比較 52
4.5 RUCMOS數位邏輯閘電路 53
4.5.1 雜訊邊界 55
4.5.2 輸入輸出轉移曲線(Voltage Transfer Curve, VTC)與功率消耗(Power Dissipation ,PD)與本質增益(Intrinsic gain) 56
4.5.3 反相器(Inverter)與延遲時間(Propagation Delay Time, TP) 59
4.5.4 七級環形震盪器(7-Ring Oscillator)與操作頻率 62
4.5.5 反或閘(NOR Gate) 64
4.5.6 反及閘(NAND Gate) 66
4.5.7 全加器(Full adder) 68
4.5.8 靜態隨機存取記憶體(Static Random Access Memory, SRAM) 70
4.6 RUCMOS與CMOS之元件探討 75
4.6.1 輸入特性曲線圖分析與電壓轉換特性曲線(VTC)之比較 78
4.6.2 短通道效應30nm微縮至10nm之比較 84
4.6.3 具先進元件之次臨界擺幅(Subthreshold Swing, S.S.)與汲極引致的位能障下降(Drain-Induced-Barrier-Lowering, DIBL)與操作偏壓比較 92
4.6.4 動態功率消耗(Power Dissipation, PD)、雜訊邊界(Noise margin)、本質增益(Intrinsic gain)之比較 93
4.6.5 反相器(Inverter)與延遲時間(Propagation Delay Time, TP )之比較 96
4.6.6 七級環形震盪器(7-Ring Oscillator) 99
4.6.7 功率與傳輸延遲時間(Power Delay Product,PDP) 100
4.6.8 反或閘(NOR Gate)之比較 101
4.6.9 反及閘(NAND Gate)之比較 102
4.6.10 全加器(Full adder)之比較 103
4.6.11 靜態隨機存取記憶體(Static Random Access Memory, SRAM)之比較 104
4.7 反向器之佈局面積之比較 108
4.8 元件實作結果與量測 109
第五章、結論與未來展望 113
5.1 結論 113
5.2 未來展望 115
參考文獻. 116
附錄 126
論文著述. 128
參考文獻 References
[1] A. S. Sedra, and K. C. Smith, Microelectronic Circuits, Fifth Edition, New York: Oxford University Press, pp. 949-952, 2004.
[2] G. E. Moore, “Cramming More Components onto Integrated Circuits,” IEEE Solid State Circuits Society Newsletter, vol. 86, no. 1, pp. 82-85, 1998.
[3] H. Iwai, “End of The Scaling Theory and Moore's law,” 16th International Workshop on Junction Technology, pp. 1-4, 2016.
[4] Q. Liu, M. Vinet, J. Gimbert, N. Loubet, R. Wacquez, L. Grenouillet, Y. L. Tiec, A. Khakifirooz, T. Nagumo, K. Cheng, H. Kothari, D. Chanemougame, F. Chafik, S. Guillaumet, J. Kuss, F. Allibert, G. Tsutsui, J. Li, P. Morin, S. Mehta, R. Johnson, L. F. Edge, S. Ponoth, T. Levin, S. Kanakasabapathy, B. Haran, H. Bu, J. L. Bataillon, O. Weber, O. Faynot, E. Josse, M. Haond, W. Kleemeier, M. Khare, T. Skotnicki, S. Luning, B. Doris, M. Celik, and R. Sampson, “High Performance UTBB FDSOI Devices Featuring 20nm Gate Length for 14nm Node and Beyond,” IEEE International Electron Devices Meeting, 2013, pp 9.2.1-9.2.4.
[5] G. Yeric, “Moore's law at 50: Are We Planning for Retirement,” IEEE International Electron Devices Meeting, pp. 1.1.1-1.1.8, 2015.
[6] K. Fkann, “Has Moore's Law Been Repealed? An Economist's Perspective,” Computing in Science & Engineering, vol. 19, no. 2, pp. 29-40, 2017.
[7] J. Wu, J. Min, and Y. Taur, “Short Channel Effects in Tunnel FETs,” IEEE Transaction Electron Devices, vol. 62, no. 9, pp. 3019-3024, 2015.
[8] Q. Xie, J. Xu, and Y. Taur, “Review and Critique of Analytic Models of MOSFET Short Channel Effects in Subthreshold,” IEEE Transaction Electron Devices, vol. 59, no. 6, pp. 1569-1579, 2012.
[9] S.-H. Oh, D. Monroe, and J. Hergenrother, “Analytic Description of Short Channel Effects in Fully Depleted Double Gate and Cylindrical Surrounding Gate MOSFET,” IEEE Electron Device Letters, vol. 21, no. 9, pp. 445-447, 2000.
[10] F. M. Waelass, and C. T. Sah, “Nanowatt Logic Using Field Effect Metal Oxide Semiconductor Triodes,” IEEE International Solid-State Circuits Conference. Digest of Technical Papers, 1963, pp. 32-33
[11] M. J. Hargrove, S. Voldman, R. Gauthier, I. Brown, K. Duncan, and W. Craig, “Latchup in CMOS Technology,” IEEE International Electron Devices Meeting, pp. 269-278, 1998.
[12] G. J. Hu, “A Better Understanding of CMOS Latch-Up,” IEEE Transaction. Electron Devices, vol. 47, no. 12, pp. 62-67, 1984.
[13] D. M. Brown, M. Ghezzo, and J. M. Pimbely, “Trends in Advanced Process Technology Submicrometer CMOS Device Design and Process Requirements,” in Proc. IEEE, vol. 74, no. 12, pp. 1678-1702, 1986.
[14] R. D. Rung, H. Momose, and Y. Nagakubo, “Deep Trench Isolated CMOS Device,” International Electron Devices Meeting, pp. 237-240, 1982.
[15] S. M. Moore, Semiconductor Devices Physics and Technology. Second Edition, New York, John Wiley Sons, 2001.
[16] U. S. Kumar, and V. R. Rao, “A Thermal Aware Device Design Considerations for Nanoscale SOI and Bulk FinFET,” IEEE Transactions on Electron Devices, vol. 63, no. 1, pp. 280-287, 2016.
[17] Y. Wang, X.-W. He, and C. Shan, “A Simulation Study of SoI Like Bulk Silicon MOSFET with Improved Performance,” IEEE Transactions on Electron Devices, vol. 61, no. 9, pp. 3339-3344, 2014.
[18] H. Shang, M. H. White, and D. A. Adams, “0.25 V FDSOI CMOS Technology for Ultra Low Voltage Applications,” SOI Conference, IEEE International, pp. 37-38, 2002.
[19] A. S. N. Pereira, G. Streel, N. Planes, and W. R. Richards, “Analysis and Modelling of Temperature Effect on DIBL in UTBB FD SOI MOSFET,” Joint International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon, pp. 116-119, 2016.
[20] B. L. Austin, X. Tang, J. D. Meindl, M. Dennen, and W. R. Richards, “Threshold Voltage Roll Off Model for Low Power Bulk Accumulation MOSFET,” ASIC Conference Proceedings. Eleventh Annual IEEE International, 1998.
[21] K. Ishii, E. Suzuki, F. Ding, and S. Kanemaru, “Suppressed Threshold Voltage Roll Off Characteristic of 40 nm Gate Length Ultrathin SOI MOSFET,” Electron Letters, vol. 34, no. 21, pp. 2069-2070, 1998.
[22] J. Chen, T. Y. Chan, and I. C. Chen, “Subbreakdown Drain Leakage Current in MOSFET,” IEEE Electron Device Letters, vol. 8, no. 11, pp. 515-517, 1987.
[23] V. A. Tiwari, D. Jaeger, A. Scholze, and D. R. Nair, “Analysis of Gate Induced Drain Leakage Mechanisms in Silicon Germanium Channel PFET,” IEEE Transactions on Electron Devices, vol. 61, no. 5, pp. 1270-1277, 2014.
[24] S. Das, and S. Kundu, “Simulation to Study The Effect of Oxide Thickness and High K Dielectric on Drain Induced Barrier Lowering in N Type MOSFET,” IEEE Transactions on Nanotechnology, vol. 12, no. 6, pp. 945-947, 2013.
[25] P. Kerber, Q. Zhang, S. Koswatta, and A. Bryant, “GIDL in Doped and Undoped FinFET Devices for Low Leakage Applications,” IEEE Electron Device Letters, vol. 34, no. 1, pp. 6-8, 2013.
[26] K.-T. Lin, and F.-T. Chien, “High Performance Raised Source Drain Thin Film Transistor with Field Plate Design,” 21st International Workshop on Active Matrix Flatpanel Displays and Devices, 2014, pp. 335-338.
[27] K. Cheng, A. Khakifirooz, P. Kulkarni, S. Kanakasabapathy, S. Schmitz; A. Reznicek, T. Adam, Y. Zhu, J. Li, J. Faltermeier, T. Furukawa, L. F. Edge, B. Haran, S.-C. Seo, P. Jamison, J. Holt, X. Li, R. Loesing, Z. Zhu, R. Johnson, A. Upham, T. Levin, M. Smalley, J. Herman, M. Di, J. Wang, D. Sadana, P. Kozlowski, H. Bu, and B. Doris, J. O’Neill, “Fully Depleted Extremely Thin SOI Technology Fabricated by A Novel Integration Scheme Featuring Implant Free Zero Silicon Loss and Faceted Raised Source Drain,” Symposium on VLSI Technology, 2009, pp. 712-713.
[28] J.-T. Lin, H.-H. Chen, K.-Y. Lu, C.-H. Sun, T.-Y. Lai, and F.-L. Yang, “A Unipolar CMOS with Recessed Source Drain Load,” 9th IEEE International Conference on ASIC, pp. 543-546, 2011.
[29] G. T. Goeloe, E. W. Maby, D. J. Silversmith, R. W. Mountain, and D. A. Antoniadis, “Vertical Single Gate CMOS Inverters on Laser Processed Multilayer Substrates,” International Electron Devices Meeting, vol. 27, pp. 554-556, 1981.
[30] A. Gill, C. Madhu, and P. Kaur, “Investigation of Short Channel Effects in Bulk MOSFET and SOI FinFET at 20 nm Node Technology,” Annual IEEE India Conference, 2016, pp. 1-4.
[31] P. Zheng, D. Connelly, F. Ding, and T.-J. K Liu, “Simulation Based Study of The Inserted Oxide FinFET for Future Low Power System on Chip Applications,” IEEE Electron Device Letters, vol. 36, no. 8, pp. 742-744, 2015.
[32] N. Xu, H Takeuchi, N. Damrongplasit, R. J. Stephenson, X Huang, N. W. Cody, M Hytha, and R. J. Mears; T.-J. King Liu, “Extension of Planar Bulk N Channel MOSFET Scaling With Oxygen Insertion Technology,” IEEE Transactions on Electron Devices, vol. 61, no. 1, pp. 3345-3349, 2014.
[33] V. Djara, V. Deshpande, M. Sousa, D. Caimi, L. Czornomaz, and J. Fompeyrine, “CMOS Compatible Replacement Metal Gate InGaAs OI FinFET With ION = 156 μA/μm at VDD = 0.5 V and IOFF = 100 nA/μm,” IEEE Electron Device Letters, vol. 37, no. 2, pp. 169-172, 2016.
[34] S. M. Nawaz, S. Dutta, and A. Mallik, “Comparison of Gate Metal Work Function Variability Between Ge and Si P Channel FinFETs,” IEEE Transactions on Electron Devices, vol. 62, no. 12, pp. 3951-3956, 2015.
[35] A. V. Thathachary, G. Lavallee, M. Cantoro, K. K. Bhuwalka, Y.-C. Heo, S. Maeda, S. Datta, “Impact of Sidewall Passivation and Channel Composition on InxGa1-xAs FinFET Performance,” IEEE Electron Device Letters, vol. 36, no. 2, pp. 117-119, 2015.
[36] A. N. Agrawal, A. V. Thathachary, S. Mahapatra, and S. Datta, “Impact of Varying Indium(x) Concentration and Quantum Confinement on PBTI Reliability in InxGa1-xAs FinFET,” IEEE Electron Device Letters, vol. 36, no. 2, pp. 120-122, 2015.
[37] S. Takagi, and M. Takenaka, “III–V/Ge MOSFETs and Tunneling FETs on Si Platform for Low Power Logic Applications,” IEEE International Meeting for Future of Electron Devices, Kansai, pp. 18-19, 2015.
[38] S. Gupta, V. Moroz, L. Smith, Q Lu, and K. C. Saraswat, “7 nm FinFET CMOS Design Enabled by Stress Engineering Using Si, Ge, and Sn,” IEEE Transactions on Electron Devices, vol. 61, no. 5, pp. 1222-1230, 2014.
[39] C-H Lin, J-T Lin, H-H Chen, Y-C Eng, and S-W Wang, “Unipolar CMOS Inverter Based on Punch Through Effect with Two Embedded Oxide Structure,” IEEE International Conference of Electron Devices and Solid-State Circuits, pp. 1-2, 2011.
[40] R. Muralidhar, I. Lauer, J. Cai, D. J. Frank and P. Oldiges, “Toward Ultimate Scaling of MOSFET,” IEEE Transactions on Electron Devices, vol. 63, no. 1, pp. 524-526, 2016.
[41] S. Ramaswamy, and M. J. Kumar, “Raised Source Drain Dopingless Junctionless Accumulation Mode FET: Design and Analysis,” IEEE Transaction Electron Devices, vol. 63, no. 11, pp. 4185-4190, 2016.
[42] L.-C. Chen, M.-S. Yeh, K.-W. Lin, M.-H. Wu, Y.-C. Wu, “Junctionless Poly Si Nanowire FET with Gated Raised S D,” IEEE Journal of the Electron Devices Society, vol. 4, no. 2, pp. 50-54, 2016.
[43] D. Guo, G. Karve, G. Tsutsui, K-Y Lim, R. Robison, T. Hook, R. Vega, D. Liu, S. Bedell, S. Mochizuki, F. Lie, K. Akarvardar, M. Wang, R. Bao, S. Burns, V. Chan, K. Cheng, J. Demarest, J. Fronheiser, P. Hashemi, J. Kelly, J. Li, N. Loubet, P. Montanini, B. Sahu, M. Sankarapandian, S. Sieg, J. Sporre, J. Strane, R. Southwick, N. Tripathi, R. Venigalla, J. Wang, K. Watanabe, C. W. Yeung, D. Gupta, B. Doris, N. Felix, A. Jacob, H. Jagannathan, S. Kanakasabapathy, R. Mo, V. Narayanan, D. Sadana, P. Oldiges, J. Stathis, T. Yamashita, V. Paruchuri, M. Colburn, A. Knorr, R. Divakaruni, H. Bu, and M. Khare, “FINFET Technology Featuring High Mobility SiGe Channel for 10nm and Beyond,” IEEE Symposium on VLSI Technology, pp. 1-2, 2016.
[44] S. Takagi, and M. Takenaka, “High Mobility CMOS Technologies Using III–V Ge Channels on Si Platform,” 13th International Conference on Ultimate Integration on Silicon, pp. 1-4, 2012.
[45] Y.-K. Choi, K. Asano, N. Lindert, V. Subramanian, T.-J. King, J. Bokor, and C. Hu, “Ultrathin Body SOI MOSFET for Deeps Sub Tenth Micron Era,” IEEE Transaction Electron Devices, vol. 21, no. 5, pp. 254-255, 2000.
[46] T.-K. Chiang, “A Short Channel Effect Degraded Noise Margin Model for Junctionless Double Gate MOSFET Working on Subthreshold CMOS Logic Gates,” IEEE Transaction Electron Devices, vol. 63, no. 8, pp. 3354-3359, 2016.
[47] J. Chen, F. Assaderaghi, P.-K. Ko, and C. Hu, “The Enhancement of Gate Induced Drain Leakage Current in SOI MOSFET and Its Impact on SOI Device Scaling,” IEEE International SOI Conference, 1992, pp. 84-85.
[48] B. Singh, D. Gola, S. Kumar, K. Singh, E. Goel, and S. Jit, “Performance Evaluation of Double Gate Junctionless Field Effect Transistor with Vertical Gaussian Doping Profile,” IEEE International Conference on Recent Trends in Electronics, Information Communication Technology, pp. 769-772, 2016.
[49] C.-H. Shih, and N. V. Kien, “Sub 10 nm Asymmetric Junctionless Tunnel Field Effect Transistors,” IEEE Journal of the Electron Devices Society, 2000, pp. 128-132.
[50] D.-I. Bae, G. Bae, K. K. Bhuwalka, S-H Lee, M-G Song, T-S Jeon, C. Kim, W. Kim, J. Park, S. Kim, U. Kwon, J. Jeon, K.-J. Nam, S. Lee, S. Lian, K.-I. Seo, S.-G. Lee, J. H. Park, Y.-C. Heo, M. S. Rodder, J. A. Kittl, Y. Kim, K. Hwang, D.-W. Kim, M.-S. Liang, and E. S. Jung, “A Novel Tensile Si (n) and Compressive SiGe (p) Dual Channel CMOS FinFET Co Integration Scheme for 5nm Logic Applications and Beyond,” IEEE International Electron Devices Meeting, 2016, pp. 28.1.1-28.1.4.
[51] V. Hu, A. B. Sachid, C.-T. Lo, P. Su, and C. Hu, “Electrostatic Integrity and Performance Enhancement for UTB InGaAs OI MOSFET with High K Dielectric Through Spacer Design,” International Symposium on VLSI Technology, Systems and Applications, 2015, pp. 1-2.
[52] W.-H. Lee, J.-T. Lin, K.-C. Juang, T.-C. Chang, C.-K. Huang, C.-C. Lai, B.-C. Yan and Y.-H. Lin, “An Improved Unipolar CMOS with Elevated Body and Spacer for Low Power Application,” Fourth Berkeley Symposium on Energy Efficient Electronic Systems, pp. 1-3, 2015
[53] Q. Jiang, H. Yuan, Y. Wang, and X. Jin, “The Analysis on Transient Induced Latch-up in Output Driver Circuit,” 2014 IEEE International Conference on Electron Devices and Solid-State Circuits, 2014, pp. 1-2.
[54] K.-C. Juang (2016), “High Integration Non-Classical CMOS with Low Power Supply and High Speed,” MS dissertation, Kaohsiung:National Sun Yat Sen University, Department of Electrical Engineering, Taiwan.
[55] B. M. Wilamowski, and R. C. Jaeger, “The Lateral Punch Through Transistor,” IEEE Electron Device Letters, vol. 3, no. 10, pp. 277-280, 1982.
[56] R. Muralidhar, J. Cai, I. Lauer, K. Chan, P. Kulkarni, Y.-H. Kim, Z. Ren, D.-G. Park, P. Oldiges, and G. Shahidi, “A Comparison of Short Channel Control in Planar Bulk and Fully Depleted Devices,” IEEE Electron Device Letters, vol. 33, no. 6, pp. 776-778, 2012.
[57] ATHENA User’s Manual: Device Simulation Software, Silvaco International Inc., Santa Clara, 2010.
[58] ATLAS User’s Manual: Device Simulation Software, Silvaco International Inc., Santa Clara, 2010.
[59] S. D. Vusser, J. Genoe, and P. Heremans, “Influence of Transistor Parameters on The Noise Margin of Organic Digital Circuits,” IEEE Transaction Electron Devices, vol. 53, no. 4, pp. 601-610, 2006.
[60] R. J. Baker, CMOS Circuit Design, Layout, and Simulation, Third Edition, A John Wiley & Sons, inc., publication, pp. 337-341, 2010.
[61] R. J. Baker, CMOS Circuit Design, Layout, and Simulation, Third Edition, A John Wiley & Sons, inc., publication, pp. 316-320, 2010.
[62] N. H. E. Weste and D. Harris, CMOS VLSI Design: A Circuits and Systems Perspective, Forth Edition, Boston, MA: Pearson Education, pp. 501-503, 2010.
[63] C. Auth, C. Allen, and A. Blattner, “A 22nm High Performance and Low Power CMOS Technology Featuring Fully Depleted Tri-gate Transistors, Self-aligned Contacts and High Density MIM Capacitors,” VLSI Technology, vol. 63, no. 1, pp. 131-132, 2012.
[64] C.-H. Jan, U. Bhattacharya, and R. Brain, “A 22nm SoC Platform Technology Featuring 3-D Tri-gate and High-k/Metal Gate, Optimized for Ultra Low Power, High Performance and High Density SoC Applications,” IEEE International Electron Devices Meeting, 2012, pp. 311-314.
[65] S.-Y. Wu, C. Y. Lin, and M. C. Chiang, “A 16nm FinFET CMOS Technology for Mobile SoC and Computing Applications,” 2013 IEEE International Electron Devices Meeting, 2013, pp. 9.1.1–9.1.4.
[66] Q. Liu, B. DeSalvo, P. Morin, “FDSOI CMOS Devices Featuring Dual Strained Channel and Thin BOX Extendable to the 10nm Node,” 2014 IEEE International Electron Devices Meeting, 2014, pp. 9.1.1–9.1.4.
[67] R. J. Baker, CMOS Circuit Design, Layout, and Simulation, Third Edition, A John Wiley & Sons, inc., publication, pp. 337-341, 2010.
[68] National Chip Implementation Center C18 Virtual Mixed Mode CMOS Process.
[69] D. Noll, and U. Schwalke, “Silicon CMOS Compatible in Situ CCVD Growth of Graphene on Silicon Nitride,” 2015 10th International Conference on Design & Technology of Integrated Systems in Nanoscale Era, 2015, pp. 1-3.
[70] V. D. Lecce, A. Gnudi, E. Gnani, S. Reggiani, and G. Baccarani, “Graphene Base Heterojunction Transistors for post-CMOS High Speed Applications: Hopes and Challenges,” 73rd Annual Device Research Conference , 2015, pp. 1-3.
[71] J. Park, “Efficient Threshold Voltage Adjustment Technique by Dielectric Capping Effect on MoS2 Field-Effect Transistor,” IEEE Electron Device Letters, vol. 38, no. 8, pp. 1172-1175, 2017.
[72] J. Mazurier, O. Weber, F. Andrieu, F. Allain, L. Tosti, L. Brévard, O. Rozeau, M.-A. Jaud, P. Perreau, C. Fenouillet-Beranger, F. A. Khaja, B. Colombeau, G. De Cock, G. Ghibaudo, M. Belleville, and O. Faynot,“Drain Current Variability and MOSFET Parameters Correlations in Planar FDSOI Technology,” 2011 International Electron Devices Meeting, 2011, pp. 25.5.1-25.5.4.
電子全文 Fulltext
本電子全文僅授權使用者為學術研究之目的,進行個人非營利性質之檢索、閱讀、列印。請遵守中華民國著作權法之相關規定,切勿任意重製、散佈、改作、轉貼、播送,以免觸法。
論文使用權限 Thesis access permission:自定論文開放時間 user define
開放時間 Available:
校內 Campus: 已公開 available
校外 Off-campus: 已公開 available


紙本論文 Printed copies
紙本論文的公開資訊在102學年度以後相對較為完整。如果需要查詢101學年度以前的紙本論文公開資訊,請聯繫圖資處紙本論文服務櫃台。如有不便之處敬請見諒。
開放時間 available 已公開 available

QR Code