Responsive image
博碩士論文 etd-0718117-175351 詳細資訊
Title page for etd-0718117-175351
論文名稱
Title
具垂直電流橋和本體在閘極上之低功耗1T-DRAM
Vertical Transistor with N-Bridge and Body on Gate for Low-Power 1T-DRAM Application
系所名稱
Department
畢業學年期
Year, semester
語文別
Language
學位類別
Degree
頁數
Number of pages
107
研究生
Author
指導教授
Advisor
召集委員
Convenor
口試委員
Advisory Committee
口試日期
Date of Exam
2017-07-27
繳交日期
Date of Submission
2017-08-21
關鍵字
Keywords
可程式規劃視窗、資料延長保存時間、無接面電晶體、無電容式動態隨機存取記憶體、電流橋電晶體、隔離氧化層
Isolation Oxide Layer, Electron Bridge Transistor, Programming Window, Data Retention Time, Junctionless Transistor, Capacitorless DRAM
統計
Statistics
本論文已被瀏覽 5705 次,被下載 19
The thesis/dissertation has been browsed 5705 times, has been downloaded 19 times.
中文摘要
在本論文中,藉由 Sentaurus TCAD 12.0軟體工具來設計元件架構與驗證記憶體表現。我們提出了具垂直電流橋和本體在閘極上之無電容式隨機存取記憶體。(Vertical Transistor with N-Bridge and Body on Gate DRAM, BOG-DRAM)。元件使用垂直式通道的架構可以減少短通道效應,且具備足夠製程微縮性。在閘極上的儲存區可以充分利用空間,因為閘極與儲存區在同一個垂直方向。而不需要在旁側製作儲存區,避免了未來元件微縮性不足的缺點。
傳統平面式電流橋元件在儲存區隨著元件微縮後,兩側的汲極和源極變寬使得串聯電阻變小且難以關閉讀取電流,上述原因使得傳統平面電流橋元件可程式規劃視窗降低。因此在微縮化後傳統電流橋元件可程式規劃視窗大幅退化。而我們所提出的BOG-DRAM元件為垂直式架構元件特性受微縮化影響較小,此外沿著儲存區與隔離氧化層沉積一層厚度均勻的通道,三側通道層可以提升寫入速度並增加可程式規劃視窗。
通道層使用N-type channel doping,可以使製程方面較簡單減少隨機摻雜分布變異性(Random Dopant Fluctuation variability) 。而使用自我對準的隔離氧化層,可以減少(Shockley-Read-Hall recombination),使得元件的資料延長保存時間(Data Retention Time)有較好的表現。在功率消耗的改善方面,BOG-DRAM元件除了在抹除時僅需施加閘極偏壓可以降低在抹除時的功率消耗。我們探討了各個記憶體操作狀態下的功率消耗。更進一步我們研究了在不同功函數下功率消耗的表現,透過功函數偏移降低操作電流達成低功率消耗的應用。
Abstract
In this thesis, we use Sentaurus TCAD 12.0 software tool to design memory device. We proposed the Vertical Transistor with N-Bridge and Body on Gate DRAM. The device with vertical channel can reduce short channel effect and improve scalability. The storage region on gate can utilize space efficiently. The gate and storage region located at the same direction. So, the device won’t need to fabricate storage at three-wide channel. This design can avoid the poor scalability. Besides, the storage region which near the gate.
When conventional current bridge device’s storage region reduces, source and drain becomes wider. Therefore, device’s series resistance decreases and hard to deplete channel. BOG-DRAM with vertical structure has high scalability compare to planar device. Besides, we deposit uniform channel layer along isolation oxide layer and storage region. Three-wide channel can improve device’s writing time and programming window.
We use n-type doping to make channel layer can achieve easy process and reduce random doping fluctuation variability. The self-aligned isolation oxide layer can improve data retention time. In order to improve power consumption, BOG-DRAM has low power consumption. Because it just need to bias gate voltage to exclude holes. We also investigate memory’s power consumption in the different operation state. Furthermore, we research power consumption’s variation as work function offset. We use work function offset to achieve low power application.
目次 Table of Contents
中文論文審定書 i
英文論文審定書 ii
致謝 iii
摘要 iv
Abstract v
目錄 vi
圖次 viii
表次 x
第一章 導論 1
研究背景 1
無電容式1T-DRAM文獻回顧 4
動機 11
論文架構 13
操作原理 14
浮體效應 14
記憶體寫入機制 15
撞擊游離(Impact Ionization)機制 15
寄生BJT(Parasitic BJT)機制 16
閘極引致汲極漏電流(GIDL)機制 18
整合式撞擊游離和閘極引致汲極漏電流機制 19
穿隧場效應電晶體操作機制 21
第三章 元件製作 23
模擬元件說明 23
元件實際製程 23
第四章 研究方法與結果討論 26
物理機制模型 26
BOG-DRAM元件架構說明 29
BOG-DRAM元件之操作方式 31
元件基本特性 36
輸入特性曲線 36
輸出特性曲線 37
可程式規劃視窗 (Programming Window) 39
資料延長保存時間 (Data Retention Time) 43
溫度影響 (Temperature Influence) 48
寫入速度 (Write Time) 51
元件功率消耗 (Power consumption) 54
干擾抵抗性 (Disturbance Immunity) 63
微縮化探討 (Scalabilty) 67
近年來各個1T-DRAM論文的邊際探討(Benchmark) 74
第五章 實作結果與探討 76
元件光罩規劃 76
元件特性量測 78
第六章 結論與未來展望 80
結論 80
未來展望 81
參考文獻 82
附錄 91
論文著述 94
參考文獻 References
[1] G. E. Moore, “Cramming more components onto integrated circuits,” IEEE Solid-State Circuits Society Newsletter, vol. 20, no.3, pp.33-35, Sept. 2006.
[2] C. Maleville, “Engineered substrates for Moore and more than Moore’s law: Device scaling: Entering the substrate era,” in IEEE SOI-3D-Subthreshold Microelectronics Tech. Unified Conf. (S3S), Oct. 2015, pp. 1-5.
[3] D.-I. Moon, J.-Y. Kim, H. Jang, H.-J. Hong, C. K. Kim, J.-S. Oh, M.-H. Kang, J.-W. Kim, and Y.-K. Choi, “A Novel FinFET With High-Speed and Prolonged Retention for Dynamic Memory,” IEEE Electron Device Lett., vol. 35, no. 12, pp. 1236–1238, Dec. 2014.
[4] J. A. Mandelman, R. H. Dennard, G. B. Bronner, J. K. Debrosse, R. Divakaruni, Y. Li, and C. J. Radens, “Challenges and future directions for the scaling of dynamic random-access memory (DRAM),” IBM Journal of Research and Development, vol. 46, no. 2.3, pp. 187-212, Mar. 2002.
[5] T. Nomura, R. Mori, K. Takayanagi, and K. Fukuoka, “Design Challenges in 3-D SoC Stacked With a 12.8 GB/s TSV Wide I/O DRAM,” IEEE Emerging and Selected Topics in Circuits and Systems, vol. 6, no. 3, pp. 364-372, Sept. 2016.
[6] J. Lim, H. Lim, and S. Kang, “3-D Stacked DRAM Refresh Management With Guaranteed Data Reliability,” IEEE Transaction on Computer-aided Design of Integrated Circuits and Systems, vol. 34, no. 9, pp. 1455-1466, Sept. 2015.
[7] T. Kaga, T. Kure, H.Shinriki, Y. Kawamoto, F. Murai, T. Nishida, Y. Nakagome, D. Hisamoto, T. Kisu, E. Takeda, and K. Itoh, “Crown-Shaped Stacked-Capacitor Cell for 1.5-V Operation 64-Mb DRAM’S,” IEEE Transaction on Electron Devices, vol. 38, no. 2, pp. 255-261, Feb. 1991.
[8] M. Kawano, N. Takahashi, Y. Kurita, K. Soejima, M. Komuro, and S. Matsui, “Three-Dimensional Packaging Technology for Stacked DRAM With 3-Gb/s Data Transfer,” IEEE Transaction on Electron Devices, vol. 55, no. 7, pp. 1614-1620, Jul. 2008.
[9] C.-Y. Lee, C.-S. Lai, and C.-M. Yang, “Dependence of DRAM Device Performance on Passivation Annealing Position in Trench and Stack Structures for Manufacturing Optimization,” IEEE Transactions on Semiconductor Manufacturing, vol. 25, no. 4, pp. 657-663, Nov. 2012.
[10] H. Sunami, “The Role of the Trench Capacitor in DRAM Innovation,” IEEE Solid-State Circuits Society Newsletter, vol. 13, no. 1, pp.42-44, Dec. 2008.
[11] H. P. Moll, J. Hartwich, A. Scholz, D. Temmler, A. P. Graham, S. Slesazek, G. Wedler, L. Heineck, T. Mono, U. Zimmermann, K. Schupke, F. Ludwig, I. Park, T. Tran, and W. Müller, “Self-Alignment Techniques to enable 40nm Trench Capacitor DRAM Technologies with 3-D Array Transistor and Single-Sided Strap,” IEEE VLSI Tech., pp. 188-189, Jun. 2007.
[12] Y.-H. Wu, C.-M. Chang, C.-Y. Wang, C.-K. Kao, C.-M. Kuo, A. Ku, and T. Huang, “Augmented Cell Performance of NO-Based Storage Dielectric by N2O-Treated Nitride Film for Trench DRAM,” IEEE Electron Device Lett., vol. 29, no. 2, pp.149-151, Feb. 2008.
[13] H.-j. Wann, and C. Hu, “A capacitorless DRAM cell on SOI substrate,” IEDM Tech. Dig., pp. 635-638, Dec. 1993.
[14] T. Tanaka, E. Yoshida, and T. Miyashita, “Scalability study on a capacitorless 1T-DRAM: from single-gate PD-SOI to double-gate FinDRAM,” IEDM Tech. Dig., pp. 919-922, Dec. 2004.
[15] T. Shino, I. Higashi, K. Fujita, T. Ohsawa, Y. Minami, T. Yamada, M. Morikado, H. Nakajima, K. Inoh, T. Hamamoto, and A. Nitayama, “Highly Scalable FBC (floating body cell) with 25nm BOX Structure for Embedded DRAM Applications,” VLSI Symp. Tech. Dig., pp. 132-133. Jun. 2004.
[16] M. Lee, T. Moon, and S. Kim, “Floating Body Effect in Partially Depleted Silicon Nanowire Transistors and Potential Capacitor-Less One-Transistor DRAM Applications,” IEEE Trans. Nanotechnology, vol. 11, no. 2, pp. 355-359, Mar. 2012.
[17] E. Yoshida, and T. Tanaka, “A design of a capacitorless 1T-DRAM cell using gate-induced drain leakage (GIDL) Current for low-power and high-speed embedded memory,” IEDM tech. Dig., pp. 37.6.1-37.6.4. Dec. 2003.
[18] E. Yoshida, and T. Tanaka, “A Capacitorless 1T-DRAM Technology Using Gate-induced Drain-leakage (GIDL) Current for Low-Power and High-Speed Embedded Memory,” IEEE Trans. Electron Devices, vol. 53, no. 4, pp. 692-697, Apr. 2006.
[19] M. Aoulaiche, A. Bravaix, E. Simoen, C. Caillat, M. Cho, L. Witters, P. Blomme, P. Fazan, G. Groeseneken, and M. Jurczak, “Endurance of One Transistor Floating Body RAM on UTBOX SOI,” IEEE Electron Device Lett., vol. 61, no. 3, pp. 801-805, Mar. 2014.
[20] K.-W. Song, and J.-W. Lee, “55 nm Capacitor-less 1T DRAM Cell Transistor with
Non-Overlap Structure,” IEEE Transactions on Electron Devices, vol. 61, no. 3, pp. 801-805, Mar. 2014.
[21] J.-S. Shin, H. Choi, H. Bae, J. Jang, D. Yun, E. Hong, D.-H. Kim, and D.-M. Kim, “Vertical-Gate Si/SiGe Double-HBT-Based Capacitorless 1T DRAM Cell for Extended Retention Time at Low Latch Voltage,” IEEE Electron Device Lett., vol. 33, no. 2, pp. 134-136, Feb. 2012.
[22] N. Rodriguez, F. Gamiz, and S. Cristoloveanu, “A-RAM Memory Cell: Concept and Operation,”IEEE Electron Device Lett., vol. 31, no. 9, pp. 972-974, Sep. 2010.
[23] N. Rodriguez, S. Cristoloveanu, and F. Gamiz, “A-RAM: Novel capacitor-less DRAM memory,” in IEEE Int. SOI Conf., Oct. 2009, pp. 1-2.
[24] N. Rodriguez, S. Cristoloveanu, and F. Gamiz, “Novel Capacitorless 1T-DRAM Cell for 22-nm Node Compatible With Bulk and SOI Substrates,” IEEE Trans. Electron Devices, vol. 58, no. 8, pp. 2371-2377, Aug. 2011.
[25] F. Gamiz, N. Rodriguez, C. Marquez, C. Navarro, and S. Cristoloveanu, “A2RAM: Low-power 1T-DRAM memory cells compatible with planar and 3D SOI substrates,” in IEEE SOI-3D-Subthreshold Microelectronics Tech. Unified Conf., Oct. 2014, pp. 1-2.
[26] A. Biswas, and A. M. Ionescu, “1T Capacitor-Less DRAM Cell Based on Asymmetric Tunnel FET Design,” IEEE Journal of the Electron Devices Society, vol. 3. no. 3, pp.217-222, May. 2015.
[27] A. Biswas, and A. M. Ionescu, “Study of fin-tunnel FETs with doped pocket as capacitor-less 1T DRAM,” in IEEE SOI-3D-Subthreshold Microelectronics Tech. Unified Conf., Oct. 2014, pp. 1-2.
[28] N. Navlakha, J.-T. Lin, and A. Kranti, “Improved Retention Time in Twin Gate 1T DRAM With Tunneling Based Read Mechanism,” IEEE Electron Device Lett., vol. 37. no. 9, pp.1127-1130, Jul. 2016.
[29] A. Pal, A. Nainani, S. Gupta, and K. C. Saraswat, “Performance Improvement of One-Transistor DRAM by Band Engineering,” IEEE Electron Device Lett., vol. 33, no. 1, pp. 29-31, Jan. 2012.
[30] A. Pal, A. Nainani, and K. C. Saraswat, “Addressing key challenges in 1T-DRAM: Retention time, scaling and variability — Using a novel design with GaP source-drain,” in IEEE Int. Conf. Simulation of Semiconductor Proc. and Devices (SISPAD), Sep. 2013, pp. 376–379.
[31] A. Pal, A. Nainani, Z. ye, X. Bao, E. Sanchez, and K. C. Saraswat, “GaP source-drain SOI 1T-DRAM: Solving the key technological challenges,” in IEEE SOI-3D-Subthreshold Microelectronics Tech. Unified Conf., Oct. 2013, pp. 1-2.
[32] M. G. Ertosun, K.-Y. Lim, C. Park, J. Oh, P. Kirsch, and K. C. Saraswat, “Novel Capacitorless Single-Transistor Charge-Trap DRAM (1T 1C DRAM) Utilizing Electrons”, IEEE Electron Device Lett., vol. 31, no. 5, pp. 405-407, May. 2010.
[33] D.-I. Moon, S.-J. Choi, J.-W. Han, and Y.-K. Choi, “An Optically Assisted Program Method for Capacitorless 1T-DRAM,” IEEE Trans. Electron Devices, vol. 57, no. 7, pp. 1714-1718, Jul. 2010.
[34] J.-W. Park, Y.-G. Kim, I.-K. Kim, K.-C. Park, H. Yoon, K.-C. Lee, and T.-S. Jung, “Performance Characteristics of SO1 DRAM for Low-Power Application,” IEEE Journal of Solid-State Circuits, vol. 34, no. 11, pp. 1446-1453, Feb. 1999.
[35] C. Sahu, and J. Singh, “Potential Benefits and Sensitivity Analysis of Dopingless Transistor for Low Power Applications,” IEEE Transations on Electron Devices, vol. 62, no. 3, pp. 729-735, Mar. 2015.
[36] M. Sung, S.-A. Jang, and H. Lee, “Gate-first High-k/Metal Gate DRAM Technology for Low Power and High Performance Products,” in IEEE IEDM. Dec. 2015, pp.26.6.1-26.6.4.
[37] M. Sako, Y. Watanabe, and T. Nakajima, “Low Power 64 Gb MLC NAND-Flash Memory in 15 nm CMOS Technology,” IEEE Journal of Solid-State Circuits, vol. 51, no. 1, pp. 196-203, Jan. 2016.
[38] D.-I. Moon, J.-Y. Kim, J.-B. Moon, D.-O. Kim, and Y.-K. Choi, “Evolution of Unified-RAM: 1T-DRAM and BE-SONOS Built on a Highly Scaled Vertical Channel,” IEEE Trans. Electron Devices, vol. 61, no. 1, pp. 60-65, Jan. 2014.
[39] J. Ocker, S. Slesazeck, and T. Mikolajick, “On the Voltage Scaling Potential of SONOS Non-Volatile Memory Transistors,” in European Solid State Device Research Conference. Dec. 2015, pp. 118-121.
[40] P.-H. Lin, J.-T. Lin, H.-P. Hsu, D.-R. Lu, and Y.-C. Wang, “Characterization of the SONOS Nonvolatile Memory Cell Using L-Shaped Channel Structure,” in IEEE International Conference on Solid-State and Integrated Circuit Technology. Oct. 2014, pp. 1-3.
[41] J.-W. Han, S.-W. Ryu, D.-H. Kim, and Y.-K. Choi, “Polysilicon Channel TFT With Separated Double-Gate for Unified RAM (URAM)—Unified Function for Nonvolatile SONOS Flash and High-Speed Capacitorless 1T-DRAM,” IEEE Trans. Electron Devices, vol. 57, no. 3, pp. 601-607, Mar. 2010.
[42] J.-W. Han, D.-I. Moon, D.-H. Kim, and Y.-K. Choi, “Parasitic BJT Read Method for High-Performance Capacitorless 1T-DRAM Mode in Unified RAM,” IEEE Electron Device Lett., vol. 30, no.10, pp.1108-1110, Oct. 2009.
[43] S.-J. Choi, J.-W. Han, D.-I. Moon, and Y.-K. Choi, “Analysis and Evaluation of a BJT-Based 1T-DRAM,” IEEE Electron Device Lett., vol. 31, no. 5, pp.393-395, May. 2010.
[44] N. Singh, A. Agarwal, L. K. Bera, T. Y. Liow, R. Yang, S. C. Rustagi, C. H. Tung, R. Kumar, G. Q. Lo, N. Balasubramanian, and D.-L. Kwong, “High-Performance Fully Depleted Silicon Nanowire (Diameter ≤ 5 nm) Gate-All-Around CMOS Devices,” IEEE Electron Device Lett., vol. 27, no. 5, pp.383-386, May. 2006.
[45] B. Yang, K. D. Buddharaju, S. H. G. Teo, N. Singh, G. Q. Lo, and D. L. Kwong, “Vertical Silicon-Nanowire Formation and Gate-All-Around MOSFET,” IEEE Electron Device Lett., vol. 29, no. 7, pp. 791-794, July. 2008.
[46] J.-T. Lin, P.-H Lin, Y.-C Eng, and Y.-R Chen, “Novel Vertical SOI-Based 1T-DRAM With Trench Body structure,” IEEE Trans. Electron Devices, vol. 60, no. 6, pp. 1872-1877, Jun. 2013.
[47] J.-T. Lin, P.-H. Lin, S. W. Haga, Y.-C. Wang, and D.-R. Lu, “Transient and Thermal Analysis on Disturbance Immunity for 4F2 Surrounding Gate 1T-DRAM With Wide Trenched Body,” IEEE Trans. Electron Devices, vol. 62, no. 1, pp. 61-68, Jan. 2015.
[48] M. G. Ertosun and K. C. Saraswat, “Investigation of Capacitorless Double-Gate Single-Transistor DRAM: With and Without Quantum Well,” IEEE Transactions on Electron Devices, vol. 57, no. 3, pp. 608-613, Mar. 2010.
[49] S. Lee, J. Jang, J. Shin, H. Kim, H. Bae, D. Yun, D. H. Kim, and D. M. Kim, “A Novel Superlattice Band-gap Engineered (SBE) Capacitorless DRAM Cell with Extremely Short Channel Length Down to 30 nm,” in IEEE International Memory Workshop. May. 2010, pp. 1-4.
[50] K. A. Sasaki, L. M. Almeida, A. Nissimoff, M. Aoulaiche, J. A. Martino, E. Simoen, and C. Claeys, “Semiconductor Film Band Gap Influence on Retention Time of UTBOX SOI 1T-DRAM Using Pulsed Back Gate Bias,” in Microelectronics Technology and Devices. Sep. 2013, pp. 1-4.
[51] J.-T. Lin, and P.-H. Lin, “Multifunction Behavior of a Vertical MOSFET With Trench Body Structure and New Erase Mechanism for Use in 1T-DRAM,” IEEE Trans. Electron Devices, vol. 61, no. 9, pp. 3172-3178, Sep. 2014.
[52] H. Jeong, K.-W. Song, I. H. Park, T.-H. Kim, Y. S. Lee, S.-G. Kim, J. Seo, K. Cho, K. Lee, H. Shin, J.-D. Lee, and B.-G. Park, “A new Capacitorless 1T-DRAM Cell: Surrounding Gate MOSFET with Vertical Channel (SGVC Cell),” IEEE Trans. on Nanotechnology, vol. 6, no. 3, pp. 352-357, May. 2007.
[53] M. G. Ertosun, H. Cho, P. Kapur, and K. C. Saraswat, “A Nanoscale Vertical Double-Gate Single-Transistor Capacitorless DRAM,” IEEE Electron Device Lett., vol. 29, no. 6, pp. 615-617, Jun. 2008.
[54] Y. Kurita, S. Matsui, N. Takahashi, K. Soejima, M. Komuro, M. Itou, and M. Kawano, “Vertical Integration of Stacked DRAM and High-Speed Logic Device Using SMAFTI Technology,” IEEE Transations on Advanced Packaging, vol. 32, no. 3, pp. 657-665, Aug. 2009.
[55] A. Kumar and S. S. Singh, “Optimizing FinFET Parameters for Minimizing Short Channel Effects,” in International Conference on Communication and Signal. Apr. 2016, pp. 1448-1451.
[56] M. G. Ertosun and K. C. Saraswat, “Characteristics of the Capacitorless Double Gate Quantum Well Single Transistor DRAM,” in International Conference on Simulation of Semiconductor Process and Devices. Oct. 2009, pp. 1-4.
[57] B. Goel and S. A. McKee, “A Methodology for Modeling Dynamic and Static Power Consumption for Multicore Processors,” in IEEE International Parallel and Distributed Processing Symposium. Jul. 2016, pp. 273-282.
[58] P. Saha, S. Basak , and S. K. Sarkar, “Performance Analysis of a High Speed, Energy Efficient 4x4 Dynamic RAM Cell Array using 32nm fully depleted SOI/SON and CNFET,” in Recent Advances in Engineering and Computational Sciences. Jul. 2014, pp. 1-6.
[59] Vandana B, “Study of Floating Body Effect in SOI Technology,” International Journal of Modern Engineering Research, vol. 3, no.3, pp. 1817-1824, May. 2013.
[60] H. Tao, J. Hou, and Z. Shao, ”Novel operation mechanism of capacitorless DRAM cell using impact ionization and GIDL effects, ” Computer Tech. and Application, vol. 4, no. 7, pp. 351-355, Jul. 2013.
[61] J.-T. Lin, T.-F. Chang, Y.-C. Eng, P.-H. Lin, and C.-H. Chen, “Charactyeristics of a Smiling Polysilicon Thin-Film Transistor,” IEEE Electron Device Lett., vol. 33, no. 6, pp. 830-832, Jun. 2012.
[62] Y.-C. Wang, “Two Novel Capacitorless One-Transistor DRAMs with Multi-Gate and Nano-Pillar Structure,” M.S. thesis, Dept. Elect. Eng., Sun Yat-Sen Univ., Kaohsiung, Taiwan, 2015.
[63] D.-R Lu, “Novel Vertical Transistor with N-channel and Isolation Oxide for 1T-DRAM Application,” M.S. thesis, Dept. Elect. Eng., Sun Yat-Sen Univ., Kaohsiung, Taiwan, 2015.
[64] C.-W. Lee, R. Yan, I. Ferain, A. Kranti, N. D. Akhavan, P. Razavi, R. Yu, and J. P. Colinge, “Nanowire zero-capacitor DRAM transistors with and without junctions,” in IEEE Conf. Nanotechnology, Aug. 2010, pp. 242-245.
電子全文 Fulltext
本電子全文僅授權使用者為學術研究之目的,進行個人非營利性質之檢索、閱讀、列印。請遵守中華民國著作權法之相關規定,切勿任意重製、散佈、改作、轉貼、播送,以免觸法。
論文使用權限 Thesis access permission:自定論文開放時間 user define
開放時間 Available:
校內 Campus: 已公開 available
校外 Off-campus: 已公開 available


紙本論文 Printed copies
紙本論文的公開資訊在102學年度以後相對較為完整。如果需要查詢101學年度以前的紙本論文公開資訊,請聯繫圖資處紙本論文服務櫃台。如有不便之處敬請見諒。
開放時間 available 已公開 available

QR Code