Responsive image
博碩士論文 etd-0721110-002943 詳細資訊
Title page for etd-0721110-002943
論文名稱
Title
用於單晶片系統發展之多重解析AXI匯流排資料追蹤器
An Embedded Multi-Resolution AXI Bus Tracer for SOC Development
系所名稱
Department
畢業學年期
Year, semester
語文別
Language
學位類別
Degree
頁數
Number of pages
204
研究生
Author
指導教授
Advisor
召集委員
Convenor
口試委員
Advisory Committee
口試日期
Date of Exam
2010-05-14
繳交日期
Date of Submission
2010-07-21
關鍵字
Keywords
偵錯、匯流排、壓縮、追蹤
Debug, Trace, Reverse encoding, Compression, Bus
統計
Statistics
本論文已被瀏覽 5651 次,被下載 2
The thesis/dissertation has been browsed 5651 times, has been downloaded 2 times.
中文摘要
隨著晶片製程的進步,使得系統晶片的時代來臨,單一晶片內所包含的元件數越來越多,使得如何有效率的取得晶片內部訊號來幫助晶片設計者來進行驗證與除錯已成為一個重要的議題和挑戰。由於想觀察系統晶片內部的訊號與狀態相當困難,因此,對於晶片除錯面臨很困難的挑戰,在傳統的方法上是將欲觀察之訊號直接接到晶片腳位上,可以透過外部的邏輯分析儀,用以瞭解晶片內部運作的情形。然而由於晶片面積以及腳位的數目有限,即使使用此方式也無法獲得足夠的資訊,並且也會因為這種方式,使得晶片所需的腳位增加,進而增加晶片面積,使得成本也大幅上升。然而為了解決這個問題在我的研究中,最主要的目標是去建構一個可用於單晶片系統發展之多重解析匯流排資料追蹤的除錯環境,使用者可以依照自己的需求動態調整追蹤資訊的精準度,做法是內嵌一即時追蹤器於系統晶片中,此追蹤器可即時記錄欲觀察之系統訊號,並儲存於記憶體內(稱之為追蹤記憶體),待系統停止後,將追蹤記憶體內容讀出至個人電腦,以分析軟體來解析系統狀況。然而由於晶片內部資料量十分龐大,因此此類追蹤器也伴隨著較佳的壓縮資料功能,用以增加追蹤深度。在分析軟體部份,我們將提供的不只是壓縮資料的解還原技術,並且將資料做進一步的分析,轉換成波形以及系統中狀態資訊,用以提供使用者做更進步的系統除錯。
Abstract
Debugging in the System-on-a-Chip (SoC) environment is a challenge since it was hard to observe their signals on a chip. How to obtain the chip internal signals to help chip designers effective to verify and debug has become an important issue.It is impractical to observe their signals on output pins due to pin number limitation. The conventional solution is to embed a monitor within the hardware for capturing the signals in real time and storing them in a on-chip trace memory.
This thesis shows how the embedded multi-resolution AXI Bus Tracer can enable users to achieve the SoC debugging and performance evaluation efficiently, and it can trace the AXI Signals on the AMBA 3.0 AXI environment. Users can dynamically adjust the tracking resolution during the program execution, and we also provide an effective encoding algorithm for compressing the trace data. With our trace analysis software, we provide the detail information ranging from detail signal waveforms to transaction level waveforms, and transfer the trace signals into Value Change Dump (VCD) file. We also show several pie charts to analyze the portion of transfer types. In our work, we provide a synthesizable hardware to embed SOC for capturing signals. Then traced information through decompress and analysis can make users analyze system debugging and performance evaluation.
目次 Table of Contents
Chapter 1 Introduction 1
1.1 Motivation 1
1.2 Background 2
1.3 Research Methodology 4
Chapter 2 Related Work 5
2.1 Monitor Technology 5
2.1.1 BDU (Breakpoint Detection Unit) 5
2.1.2 Multipurpose/Multiprocessor Application Monitor 6
2.1.3 AHB Trace Buffer 7
2.1.4 Monitor-Based Test 8
2.1.5 Embedded Debug and Trace 10
2.2 Compression Techniques 13
2.2.1 Packed Differential Address and Time Stamp 13
2.2.2 Locality-Based Online Trace Compression 16
2.3 ARM Embedded Trace Macrocell (ETM) 17
2.4 First Silicon Solutions AMBA Navigator 18
2.5 AHB Bus Tracer 19
2.5.1 Multi-Resolution AMBA AHB Tracer 19
2.5.2 Trace Data Stored in On-Chip Memory 21
2.5.3 Modify for Multi-Resolution AMBA AXI Tracer 22
Chapter 3 Review Advanced eXtensible Interface 23
3.1 AMBA 3.0 Advanced eXtensible Interface 23
3.2 Comparison between the AXI and the AHB 25
Chapter 4 Multi-Resolution AXI Bus Tracer 26
4.1 AXI Bus Tracer in SoC Environment 27
4.2 Hardware Design of Multi-Resolution AXI Tracer 30
4.2.1 Abstraction Module 30
4.2.2 Compression Module 35
4.2.3 Packing Module and FIFO Module 39
4.2.4 Dynamic Mode Switch 42
4.2.5 Trace Data Stored in On-Chip Memory 45
4.3 Summary 46
Chapter 5 The Reverse-encoding Algorithm 47
5.1 Problem in Traditional Trace Compression 47
5.2 The Basic Reverse Compression Formulation 48
5.2.1 Differential Compression 50
5.2.2 Dictionary-based Compression 50
5.3 Circular Buffer Management 52
5.3 Summary 54
Chapter 6 Bus Analyzer 55
6.1 Decompression 55
6.1.1 Trace Data Reordering 56
6.1.2 Trace Data Unpacking 57
6.2 VCD Format Converting 59
6.2.1 Example of VCD File Format 59
6.2.2 Converting Trace Data to VCD File 61
6.3 Trace Data Analysis for Windows OS 62
6.3.1 Waveform Viewer 62
6.3.2 Address/Data Normal Distribution 63
6.3.3 Access Control Signals Analyzer 64
6.3.4 Protocol Control Signals Analyzer 65
6.3.5 Bus Transaction Model 66
6.4 Trace Data Analysis for Linux OS 67
6.5 Summary 68
Chapter 7 Verification 69
7.1 RTL Verification 70
7.1.1 Design Ware AMBA 3 AXI Verification IP 70
7.1.2 RTL Verification Environment 71
7.1.3 Automatic Verification 73
7.1.4 Coding Style Verification 74
7.1.5 Code/FSM Coverage Verification 74
7.2 FPGA Verification 76
Chapter 8 Debug Methodology 79
8.1 Pre-T/Post-T Trace 79
8.2 Power-On Trace 80
8.3 Cooperation with Event-based Debugger 84
8.4 Matching with Simulation Result 85
Chapter 9 Experimental Results 87
9.1 Compression Ratio 87
9.1.1 Environmental impact of the compression ratio 89
9.1.2 The Best and Worst Cases 93
9.1.3 Comprare with our AHB Bus Tracer 101
9.2 Analysis of the AXI and AHB Bus Utilizations 108
9.3 Case Study – JPEG Encoder Analysis 110
9.3.1 JPEG Encoder – SYS32TM Cache Disable 111
9.3.2 JPEG Encoder – SYS32TM Cache Enable 130
9.3.3 JPEG Encoder Program behavior Analysis 149
9.4 Comparison of AHB and AXI Transactions 152
9.4.1 Case 1: Two Master with Two Slave 153
9.4.2 Case 2: Two Master with One Slave 154
9.4.3 Case 1: One Master with One Slave 156
9.4.4 Summary 157
9.5 Analysis of Trace Depth on a Trace Memory 160
9.6 Hardware Synthesis 161
9.7 SoC Case Studies 162
9.7.1 An SoC with 3D Graphics Acceleration 163
9.7.2 Global unichip’s SoC Development Board 165
Chapter 10 Conclusion 170
Chapter 11 Future Work 172
References 173
Appendix A : I/O Definition 175
A.1 AXI Bus Tracer I/O Interface 175
A.2 AHB Bus TraceMemeory I/O Interface 176
Appendix B : Control Register 177
Trace Control Register Setup 182
Appendix C : Power-On Trace User Manual 184
Appendix D : Achievement 186
參考文獻 References
[1] IEEE Std 1149.1, IEEE Standard Test Access Port and Boundary-Scan Architecture, IEEE Computer Society, New York, 1990
[2] IEEE Industry Standards and Technology Organization (IEEE-ISTO): IEEE-ISTO 5001 1999, the Nexus 5001 Forum Standard for a Global Embedded Processor Debug Interface, available at http://www.nexus5001.org/
[3] AMBA AXI Protocol Specification (Rev 1.0) at http://www.arm.com/
[4] Mohammed El Shobaki and Lennart Lindh, “A Hardware and Software Monitor for High-Level System-on-Chip Verification,” Proc. of International Symposium on Quality Electronic Design, 26-28 March 2001, pp.56-61
[5] GRLIB IP Core User’s Manual (Version 1.0.15, April 2007)
[6] Hue-Min Lin, Chia-Chih Yen, Che-Hua Shih and Jing-Yang Jou, “On Compliance Test of On-Chip Bus for SOC,” Proc. of ASP-DAC, Jan. 2004, pp. 328-333
[7] Eric E. Johnson, Jiheng Ha, and M.Baqar Zaidi, “Lossless Trace Compression,” IEEE Trans. on Computers, vol. 50, no. 2, Feb. 2001, pp. 158-173
[8] ARM Corp. Web Site, available at http://www.arm.com
[9] Yue Luo and Kurian John, “Locality-Based Online Trace Compression,” IEEE Trans. on Computers, vol. 53, no. 6, June 2004, pp. 723-731
[10] DesignWare AMBA 3 AXI Verification IP User Manual (Version5.20b July 2008)
[11] Zhe-Mao Hsu, I-Yao Chuang, Wen-Chien Su, Jen-Chieh Yeh, Jen-Kuei Yang, and Shau-Yin Tseng “System Performance Analyses on PAC Duo ESL Virtual Platform”IEEE Intelligent Information Hiding and Multimedia Signal Processing, 2009.
[12] AMBA™ AHB Trace Macrocell (HTM) Revision: r0ps
[13] Preliminary Technical Data for AMBA Navigator AMBA On-Chip Bus Analyzer for AHB Bus Systems
[14] Chien-Chou Wang. “AHB On-Chip Bus Protocol Checker”, December, 2007.
[15] Fu-Ching Yang, Cheng-Lung Chiang and Ing-Jer Huang, “A Reverse-Encoding-Based On-Chip Bus Tracer for Efficient Circular-Buffer Utilization”, IEEE Transactions on. Very Large Scale Integration (VLSI) Systems, vol 18, Issue : 5, p732 – 741, May 2010
[16] N.Y.-C. Chang,1 Y.-Z. Liao,2 and T.-S. Chang1 “Analysis of shared-link AXI” IET Comput. Digit. Tech. vol 3, Issue 4, p.373–383, -- July 2009 --
[17] Wen-Chi Shiue An “Embedded Multi-Resolution AMBA Trace Analyzer / Debugger for SOC Development”, Master Thesis, March 2008
[18] Ming-Chang Hsieh and Chih-Tsun Huang “An embedded infrastructure of debug and trace interface for the DSP platform” Proc. of the 2008 ACM IEEE 45th annual Design Automation Conference(DAC), Anaheim, CA, USA, p866-871, June 8-13.
電子全文 Fulltext
本電子全文僅授權使用者為學術研究之目的,進行個人非營利性質之檢索、閱讀、列印。請遵守中華民國著作權法之相關規定,切勿任意重製、散佈、改作、轉貼、播送,以免觸法。
論文使用權限 Thesis access permission:校內一年後公開,校外永不公開 campus withheld
開放時間 Available:
校內 Campus: 已公開 available
校外 Off-campus:永不公開 not available

您的 IP(校外) 位址是 3.144.35.148
論文開放下載的時間是 校外不公開

Your IP address is 3.144.35.148
This thesis will be available to you on Indicate off-campus access is not available.

紙本論文 Printed copies
紙本論文的公開資訊在102學年度以後相對較為完整。如果需要查詢101學年度以前的紙本論文公開資訊,請聯繫圖資處紙本論文服務櫃台。如有不便之處敬請見諒。
開放時間 available 已公開 available

QR Code