Responsive image
博碩士論文 etd-0725112-105314 詳細資訊
Title page for etd-0725112-105314
論文名稱
Title
額外本體效應在後隔離類矽覆絕緣金氧半場效電晶體之研究
A Study of Additional-Body Effects in Isolation-Last Quasi-SOI MOSFETs
系所名稱
Department
畢業學年期
Year, semester
語文別
Language
學位類別
Degree
頁數
Number of pages
178
研究生
Author
指導教授
Advisor
召集委員
Convenor
口試委員
Advisory Committee
口試日期
Date of Exam
2012-07-16
繳交日期
Date of Submission
2012-07-25
關鍵字
Keywords
額外本體、後隔離、類矽覆絕緣金氧半、阻隔氧化物、無阻隔氧化物
ZBO, ABEs, isolation-last, QSOI MOSFETs, BO
統計
Statistics
本論文已被瀏覽 5774 次,被下載 235
The thesis/dissertation has been browsed 5774 times, has been downloaded 235 times.
中文摘要
當半導體元件尺寸持續微縮,傳統的矽互補式金氧半技術遭遇許多的問題與困難。其中,金氧半場效電晶體需要面對的挑戰是如何有效的控制短通道效應和相關問題,要不然的話,就無法得到良好的元件特性。就矽覆絕緣金氧半場效電晶體來說,因為存在一個埋入氧化層,短通道特性行為相對於矽金氧半元件可以有效的提升。然而,自我加熱效應也由於埋入氧化層存在的關係,使得矽覆絕緣金氧半元件的熱穩定性有所下降。因此,這些挑戰將成為未來平面式金氧半元件持續微縮所需面對的問題。
在本篇論文中,我們提出一個新穎的觀念,叫做“後隔離製程”,主要是把主動區定義的製程挪到源�汲極退火製程之後再去執行。我們也製作兩個新穎的元件,分別為:(一)一個擁有阻隔氧化物、源�汲極束縛點和伴隨額外多晶矽本體之金氧半場效電晶體;(二)一個無阻隔氧化物但有源�汲極束縛點及伴隨額外多晶矽本體之金氧半場效電晶體。實際上,上述兩個架構都可歸類於後隔離類多晶矽薄膜電晶體,這主要是元件擁有多晶矽本體的緣故。然而,就元件繼續微縮來說,我們所提出的架構是可以擁有單晶矽本體作為通道。因此,我們模擬小尺寸的後隔離類矽覆絕緣金氧半場效電晶體作為元件的微縮性能預測。至於實作方面,我們把原有的小尺寸設計改良成適應現有的設備去製作後隔離類多晶矽薄膜電晶體。同時,我們所製作的架構依然保有著額外本體這一特色。
根據模擬結果,我們發現額外矽本體能幫助元件同時抑制短通道效應和自我加熱效應,這主要歸咎於所模擬的元件能夠隔離汲極電場和提升元件的散熱能力。與傳統類矽覆絕緣金氧半元件比較,後隔離類矽覆絕緣金氧半元件呈現較大的閘極漏電流以及寄生電容,但是這些結果依然可以被接受。且實驗結果證實額外之多晶矽本體可以幫助元件對抗電荷共享效應和散熱問題,也就是說一個簡單的後隔離製程不只可以幫助提升性能,亦能降低製作成本。此外,實測也證實有阻隔氧化物比無阻隔氧化物之金氧半元件更能具較佳元件特性。然而,無阻隔氧化物之金氧半元件可以看成是極度微縮後的阻隔氧化物元件,且搭配額外矽本體我們亦能保有一定的元件性能。
Abstract
As semiconductor device sizes continue to decrease, the traditional bulk CMOS technology is seen as an obstacle itself by the physical device limitations. One of the physical limitations of MOSFETs is to ensure that the SCEs and related issues can be controlled to maintain device performance targets. For SOI MOSFETs, due to the presence of BOX, short-channel behavior is improved, as compared to bulk Si. But self-heating plays a key role in affecting device reliability. Thus, these challenges make the future of planar technology being difficult to be continuously implemented.
In this thesis we introduce the concept of the isolation-last process which moves the “FET active region definition” to the back of the S/D activation process. There are two kinds of devices to be fabricated: BOSDT-APSB MOSFET and ZBOSDT-APSB MOSFET. BOSDT is the acronym of block-oxide S/D-tie and APSB is the acronym of additional poly-Si body. It should be noted that the ZBO is the acronym of zero BO (absence of BO). Actually, the two above-mentioned devices can be referred to as the poly-Si TFTs, due to the presence of poly-Si active region. However, for the ultimate scaling, the two proposed devices can have an additional silicon body or ASB. Two proposed devices, being a consideration of fabrication aspects, have a different design compared to their scaled-down sizes. But we can still hold the ASB’s core values.
According to the simulation, the ASB shows its ability to alleviate the SCEs and offers improved cooling capability, which is because the additional body provides extra space for heat dissipation. The unwanted results are that the large gate leakage current and parasitic capacitances are observed as the ASB is created. Fortunately, these results are still within acceptable limits. Experimental results show that the APSB is desirable to suppress the SCEs in both BOSDT and ZBOSDT MOSFETs. We also verify that the device’s cooling capability can be improved by introducing an APSB into MOSFETs. In other words, the APSB is useful for enhanced performance and reliability, although some disadvantages exist also. The BO has been proven to have a better channel controllability than its counterpart. But the ZBO can be seen as the ultimately scaled BO. And after scaling, the schemes of ZBO and ASB become more pronounced.
目次 Table of Contents
1 Introduction--------------------------------------------------------------------------------------1
1.1 Background and Motivation------------------------------------------------------------------1
1.2 Thesis Contribution---------------------------------------------------------------------------20
1.3 Thesis Organization--------------------------------------------------------------------------24
4.3 References-------------------------------------------------------------------------------------25

2 Quasi-SOI MOSFETs with Block-Oxide-------------------------------------------------29
2.1 Introduction------------------------------------------------------------------------------------29
2.2 Development of BO-Based MOSFETs----------------------------------------------------31
2.3 Development of SDT-Based MOSFETs---------------------------------------------------38
2.4 Development of BOSDT-Based MOSFETs-----------------------------------------------43
2.5 Summary---------------------------------------------------------------------------------------47
4.3 References-------------------------------------------------------------------------------------48

3 Device Simulation Setup---------------------------------------------------------------------51
3.1 Introduction------------------------------------------------------------------------------------51
3.2 Physical Models------------------------------------------------------------------------------52
3.3 Advanced Device Fabrication Technology------------------------------------------------57
3.4 Summary---------------------------------------------------------------------------------------61
4.3 References-------------------------------------------------------------------------------------62

4 Simulation Results and Discussion---------------------------------------------------------63
4.1 Introduction------------------------------------------------------------------------------------63
4.2 Characteristics of Isolation-Last QSOI MOSFETs---------------------------------------65
4.3 Summary---------------------------------------------------------------------------------------93
4.3 References-------------------------------------------------------------------------------------94

5 Isolation-Last Quasi-SOI Technology-----------------------------------------------------95
5.1 Introduction------------------------------------------------------------------------------------95
5.2 The BOSDT MOSFET with APSB---------------------------------------------------------97
5.3 The ZBOSDT MOSFET with APSB-----------------------------------------------------103
5.4 Isolation-Last QSOI MOSFETs-----------------------------------------------------------109
5.5 Summary-------------------------------------------------------------------------------------114
4.3 References------------------------------------------------------------------------------------114

6 Experimental Results------------------------------------------------------------------------116
6.1 Introduction----------------------------------------------------------------------------------116
6.2 Device Characteristics of BOSDT-APSB MOSFETs----------------------------------117
6.3 Analog Characteristics of BOSDT-APSB MOSFETs----------------------------------132
6.4 Isolation-Last vs. Conventional Isolation Comparison--------------------------------140
6.5 Summary-------------------------------------------------------------------------------------142
4.3 References------------------------------------------------------------------------------------143

7 Conclusions and Future Work------------------------------------------------------------145
7.1 Conclusions----------------------------------------------------------------------------------145
7.2 Future Work----------------------------------------------------------------------------------147

List of Publications-----------------------------------------------------------------------------150
參考文獻 References
[1.1] J.-P. Colinge, Silicon-on-Insulator Technology: Materials to VLSI. Amsterdam, The Netherlands: Kluwer, 1991.
[1.2] S. M. Sze, Semiconductor Devices, Physics and Technology, 2nd ed. New York: John Wiley & Sons, 2001.
[1.3] S. M. Sze and K. K. Ng, Physics of Semiconductor Devices, 3rd ed. New York: John Wiley & Sons, 2007.
[1.4] D. A. Neamen, An Introduction to Semiconductor Devices. New York: McGraw-Hill, 2005.
[1.5] S. Veeraraghavan and J. G. Fossum, “Short-Channel Effects in SO1 MOSFET’s,” IEEE Trans. Electron Devices, vol. 36, no. 3, pp. 522–528, Mar. 1989.
[1.6] A. O. Adan and K. Higashi, “OFF-State Leakage Current Mechanisms in BulkSi and SOI MOSFETs and Their Impact on CMOS ULSIs Standby Current,” IEEE Trans. Electron Devices, vol. 48, no. 9, pp. 2050–2057, Sept. 2001.
[1.7] P. M. Zeitzoff, “MOSFET SCALING TRENDS AND CHALLENGES THROUGH THE END OF THE ROADMAP,” in Proc. IEEE Custom Integr. Circuits Conf., 2004, pp. 233–240.
[1.8] A. Chaudhry and M. J. Kumar, “Controlling Short-Channel Effects in Deep-Submicron SOI MOSFETs for Improved Reliability: A Review,” IEEE Trans. Device Mater. Rel., vol. 4, no. 1, pp. 99–109, Mar. 2004.
[1.9] S. Sleva and Y. Taur, “The Influence of Source and Drain Junction Depth on the Short-Channel Effect in MOSFETs,” IEEE Trans. Electron Devices, vol. 52, no. 12, pp. 2814–2816, Dec. 2005.
[1.10] M. Liu, W.-Y. Lu, W. Wang, and Y. Taur, “Scaling to 10 nm–Bulk, SOI or Double-Gate MOSFETs?,” in Proc. 8th Int. Conf. Solid-State Integr. Circ. Technol., 2006, pp. 35–38.
[1.11] H. Watanabe, K. Matsuzawa, and S.-I. Takagi, “Scaling Effects on Gate Leakage Current,” IEEE Trans. Electron Devices, vol. 50, no. 8, pp. 1779–1784, Aug. 2003.
[1.12] S. Mukhopadhyay et al., “Gate Leakage Reduction for Scaled Devices Using Transistor Stacking,” IEEE Trans. Very Large Scale Integr. VLSI Syst., vol. 11, no. 4, pp. 716–730, Aug. 2003.
[1.13] E. Gili et al., “Asymmetric Gate-Induced Drain Leakage and Body Leakage in Vertical MOSFETs With Reduced Parasitic Capacitance,” IEEE Trans. Electron Devices, vol. 53, no. 5, pp. 1080–1087, May 2006.
[1.14] J.-H. Chen, S.-C. Wong, and Y.-H. Wang, “An Analytic Three-Terminal Band-to-Band Tunneling Model on GIDL in MOSFET,” IEEE Trans. Electron Devices, vol. 48, no. 7, pp. 1400–1405, Jul. 2001.
[1.15] Y.-S. Lin et al., “Leakage Scaling in Deep Submicron CMOS for SoC,” IEEE Trans. Electron Devices, vol. 49, no. 6, pp. 1304–1401, Jun. 2002.
[1.16] Y. C. Yeo et al., “Direct Tunneling Gate Leakage Current in Transistors with Ultrathin Silicon Nitride Gate Dielectric,” IEEE Electron Device Lett., vol. 21, no. 11, pp. 540–542, Nov. 2000.
[1.17] G. V. Reddy and M. J. Kumar, “A New Dual-Material Double-Gate (DMDG) Nanoscale SOI MOSFET—Two-Dimensional Analytical Modeling and Simulation,” IEEE Trans. Nanotechnol., vol. 4, no. 2, pp. 260–268, Mar. 2005.
[1.18] D. V. Singh et al., “Effect of Contact Liner Stress in High-Performance FDSOI Devices with Ultra-Thin Silicon Channels and 30 nm Gate Lengths,” in Proc. IEEE Int. SOI Conf., 2005, pp. 178–179.
[1.19] P.-H. Lin, S.-S. Kang, J.-T. Lin, and Y.-C. Eng, “Simulation of the Multi-Source/Drain SOI MOSFET,” in Proc. 15th Int. Symp. Phys. Failure Anal. Integr. Circuits, 2008, pp. 189–192.
[1.20] F. Andrieu et al., “Low Leakage and Low Variability Ultra-Thin Body and Buried Oxide (UT2B) SOI Technology for 20nm Low Power CMOS and Beyond,” in VLSI Symp. Tech. Dig., 2010, pp. 57–58.
[1.21] Q. Liu et al., “Ultra-Thin-Body and BOX (UTBB) Fully Depleted (FD) Device Integration for 22nm Node and Beyond,” in VLSI Symp. Tech. Dig., 2010, pp. 61–62.
[1.22] A. Kranti, J.-P. Raskin and G. A. Armstrong, “Source/Drain Engineered Ultra Low Power Analog/RF UTBB MOSFETs,” in Proc. 12th Int. Conf. Ultim. Integr. Silicon, 2011, pp. 1–4.
[1.23] A. Khakifirooz et al., “Scalability of Extremely Thin SOI (ETSOI) MOSFETs to Sub-20-nm Gate Length,” IEEE Electron Device Lett., vol. 33, no. 2, pp. 149–151, Feb. 2012.
[1.24] Z. B. Zhang et al., “An Integratable Dual Metal Gate/High-k CMOS Solution for FD-SOI and MuGFET Technologies,” in Proc. IEEE Int. SOI Conf., 2005, pp. 157–158.
[1.25] X. Yu, M. Yu, and C. Zhu, “Advanced HfTaON/SiO2 Gate Stack With High Mobility and Low Leakage Current for Low-Standby-Power Application,” IEEE Electron Device Lett., vol. 27, no. 6, pp. 498–501, Jun. 2006.
[1.26] C. Auth et al., “45nm High-k+Metal Gate Strain-Enhanced Transistors,” Intel Technol. J., vol. 12, no. 2, pp. 77–86, Jun. 2008.
[1.27] S. E. Thompson et al., “In Search of ‘Forever,’ Continued Transistor Scaling One New Material at a Time,” IEEE Trans. Semicond. Manuf., vol. 18, no. 1, pp. 26–36, Feb. 2005.
[1.28] H.-S. P. Wong, L. Wei, and J. Deng, “The Future of CMOS Scaling – Parasitics Engineering and Device Footprint Scaling,” in Proc. 9th Int. Conf. Solid-State Integr. Circ. Technol., 2008, pp. 21–24.
[1.29] K. J. Kuhn, 22 nm Device Architecture and Performance Elements. [Online]. Available: download.intel.com/pressroom/pdf/kkuhn/Kuhn_22nm_Device.pdf
[1.30] M. Jagadesh Kumar and V. Verma, “Elimination of Bipolar Induced Drain Breakdown and Single Transistor Latch in Submicron PD SOI MOSFET,” IEEE Trans. Reliab., vol. 51, no. 3, pp. 367–370, Sept. 2002.
[1.31] Y.-C. Tsai et al., “Thermal Stability of a High Performance PTGVMOS with Native-tie,” in Proc. 9th Int. Conf. Solid-State Integr. Circuits Technol., 2008, pp. 64–67.
[1.32] Y.-H. Fan et al., “A Simulation Study of a Novel Dual-Channel Body-Tied MOSFET,” in Proc. 10th Int. Workshop Junction Technol., 2010, pp. 243–246.
[1.33] D. A. Dallmann and K. Shenai, “Scaling Constraints Imposed by Self-Heating in Submicron SOI MOSFET’s,” IEEE Trans. Electron Devices, vol. 42, no. 3, pp. 489–496, Mar. 1995.
[1.34] P. Su, K. Goto, T. Sugii, and C. Hu, “Self-Heating Enhanced Impact Ionization in SOI MOSFETs,” in Proc. IEEE Int. SOI Conf., 2001, pp. 31–32.
[1.35] C. Fiegna, Y. Yang, E. Sangiorgi, and A. G. O’Neill, “Analysis of Self-Heating Effects in Ultrathin-Body SOI MOSFETs by Device Simulation,” IEEE Trans. Electron Devices, vol. 55, no. 1, pp. 233–244, Jan. 2008.
[1.36] J.-T. Lin et al., “Self-Aligned SOI MOSFETs with Ω-Shaped Conductive Layer and Source/Drain-Tie,” in Proc. 16th Int. Symp. Phys. Failure Anal. Integr. Circuits, 2009, pp. 703–706.
[1.37] Y. Tian, R. Huang, X. Zhang, and Y. Wang, “A Novel Nanoscaled Device Concept: Quasi-SOI MOSFET to Eliminate the Potential Weaknesses of UTB SOI MOSFET,” IEEE Trans. Electron Devices, vol. 52, no. 4, pp. 561–568, Apr. 2005.
[1.38] K. H. Yeo et al., “A Partially Insulated Field-Effect Transistor (PiFET) as a Candidate for Scaled Transistors,” IEEE Electron Device Lett., vol. 25, no. 6, pp. 387–389, Jun. 2004.
[1.39] J.-T. Lin et al., “Short-Channel Characteristics of Self-Aligned Π-Shaped Source/Drain Ultrathin SOI MOSFETs,” IEEE Trans. Electron Devices, vol. 55, no. 6, pp. 1480–1486, Jun. 2008.

[2.1] Y. Tian, R. Huang, X. Zhang, and Y. Wang, “A Novel Nanoscaled Device Concept: Quasi-SOI MOSFET to Eliminate the Potential Weaknesses of UTB SOI MOSFET,” IEEE Trans. Electron Devices, vol. 52, no. 4, pp. 561–568, Apr. 2005.
[2.2] H. Xiao, Y. Tian, X. An, R. Huang, and Y. Wang, “Quasi-SOI MOSFET: a novel architecture combining the advantages of SOI and bulk devices,” Semicond. Sci. Technol., vol. 20, no. 8, pp. 925–931, Apr. 2005.
[2.3] Z. Lv et al., “Fabrication of Self-aligned Drain and Source on Insulator MOSFET with Dielectric Pocket by Local SIMOX Technology,” in Proc. IEEE Int. SOI Conf., 2005, pp. 99–100.
[2.4] Y. Tian et al., “Quasi-SOI MOSFETs—A Promising Bulk Device Candidate for Extremely Scaled Era,” IEEE Trans. Electron Devices, vol. 54, no. 7, pp. 1784–1788, Jul. 2007.
[2.5] H. Xiao et al., “The Localized-SOI MOSFET as a Candidate for Analog/RF Applications,” IEEE Trans. Electron Devices, vol. 54, no. 8, pp. 1978–1984, Aug. 2007.
[2.6] J.-T. Lin, K.-C. Lin, T.-Y. Lee, and Y.-C. Eng, “Investigation of the Novel Attributes of a Vertical MOSFET with Internal Block Layer (bVMOS): 2-D Simulation Study,” in Proc. 25th Int. Conf. Microelectron., 2006, pp. 488–491.
[2.7] J.-T. Lin, Y.-C. Eng, T.-Y. Lee, K.-C. Lin, and K.-D. Huang, “A Impact of Block Oxide on 50 nm Gate Length Planar MOSFETs,” in Proc. IEEE Int. Conf. Integr. Circ. Des. Technol., 2006, pp. 141–144.
[2.8] J.-T. Lin, Y.-C. Eng, K.-D. Huang, T.-Y. Lee, and K.-C. Lin, “A Novel FDSOI MOSFET with Block Oxide Enclosed Body,” in Proc. IEEE Int. Conf. Integr. Circ. Des. Technol., 2006, pp. 145–148.
[2.9] Y.-C. Eng and J.-T. Lin, “Improvement of Self-heating Effects in Nanoscale Multi-substrate Contact Field-effect Transistors,” in Proc. 11th Int. Symp. Integr. Circuits, 2007, pp. 45–48.
[2.10] J.-T. Lin et al., “Misalignment of the Block Oxide Height in Self-aligned Source/Drain-tied bFDSOI-FET,” in Proc. IEEE Int. Conf. Electron Dev. Solid-State Circuits, 2007, pp. 79–82.
[2.11] C.-H. Sun et al., “Advanced Block Oxide MOSFETs for 25 nm Technology Node,” in Proc. 16th Int. Symp. Phys. Failure Anal. Integr. Circuits, 2009, pp. 174–177.
[2.12] Z. Zhang, S. Zhang, and M. Chan, “Self-Aligned Recessed Source/Drain Ultra-Thin Body SOI MOSFET Technology,” in Proc. 34th Eur. Solid State Device Res. Conf., 2004, pp. 301–304.
[2.13] Z. Zhang, S. Zhang, and M. Chan, “Self-Align Recessed Source Drain Ultrathin Body SOI MOSFET,” IEEE Electron Device Lett., vol. 25, no. 11, pp. 740–742, Nov. 2004.
[2.14] J.-T. Lin and Y.-C. Eng, “Influence of Block Oxide Width on a Silicon-on-Partial-Insulator Field-Effect Transistor,” IEEE Trans. Electron Devices, vol. 54, no. 11, pp. 2893–2900, Nov. 2007.
[2.15] C. W. Oh et al., “Electrical Characterization of Partially Insulated MOSFETs with Buried Insulators under Source/Drain Regions,” in Proc. 34th Eur. Solid State Device Res. Conf., 2004, pp. 233–236.
[2.16] K. H. Yeo et al., “A Partially Insulated Field-Effect Transistor (PiFET) as a Candidate for Scaled Transistors,” IEEE Electron Device Lett., vol. 25, no. 6, pp. 387–389, Jun. 2004.
[2.17] C. W. Oh et al., “Hybrid Integration of Ultrathin-Body Partially Insulated MOSFETs and a Bulk MOSFET for Better IC Performance: A Multiple-VTH Technology Using Partial SOI Structure,” IEEE Electron Device Lett., vol. 31, no. 1, pp. 59–61, Jan. 2010.
[2.18] K.-Y. Lu et al., “Characterization of a Body-Tied Vertical MOSFET,” in Proc. 10th Int. Workshop Junction Technol., 2010, pp. 251–254.
[2.19] J.-T. Lin, Y.-C. Eng, T.-Y. Lee, and K.-C. Lin, “Elimination of Floating body Effect and Thermal Instability in a Nano Quasi-SOI MOSFET with π-shaped Semiconductor Layer,” in Proc. Extended Abs. 6th Int. Workshop Junction Technol., 2006, pp. 229–232.
[2.20] J.-T. Lin and Y.-C. Eng, “Oxide Islands Design for Elimination of Ultra-shallow Junction Formation,” in Proc. Ext. Abstr. 7th Int. Workshop Junction Technol., 2007, pp. 9–12.
[2.21] J.-T. Lin et al., “Short-Channel Characteristics of Self-Aligned Π-Shaped Source/Drain Ultrathin SOI MOSFETs,” IEEE Trans. Electron Devices, vol. 55, no. 6, pp. 1480–1486, Jun. 2008.
[2.22] Y.-C. Eng et al., “A Simulation Study of Source/Drain-Tie Effects on Characteristics of Self-Aligned π-Shaped Source/Drain Ultrathin SOI FETs,” in Proc. 16th Int. Symp. Phys. Failure Anal. Integr. Circuits, 2009, pp. 169–173.
[2.23] Y.-C. Eng et al., “A Highly Scalable Π-Shaped Source/Drain Quasi-SOI MOS Transistor,” in Proc. Ext. Abstr. 10th Int. Workshop Junction Technol., 2010, pp. 239–242.
[2.24] J.-T. Lin, Y.-C. Eng, C.-H. Kuo, and P.-H. Lin, “An Ultimate Planar MOS Transistor for High-Performance Applications Based on Classical and Modern Techniques,” in Proc. 27th Int. Conf. Microelectron., 2010, pp. 405–407.
[2.25] J.-T. Lin, Y.-C. Eng, C.-H. Chen, and Y.-H. Fan, “Additional-Body Effects in a Self-Aligned Deca-Nanometer Ultrathin-Body and Buried Oxide Silicon-on-Insulator Metal–Oxide–Semiconductor Field-Effect Transistor: A Three-Dimensional Simulation Study,” Jpn. J. Appl. Phys., vol. 50, no. 11, pp. 110210-1–110210-3, Nov. 2011.

[3.1] User’s Manual, ISE-TCAD, 2004.
[3.2] S.-Y. Lee et al., “A Novel Multibridge-Channel MOSFET (MBCFET): Fabrication Technologies and Characteristics,” IEEE Trans. Nanotechnol., vol. 2, no. 4, pp. 253–257, Dec. 2003.
[3.3] S.-Y. Lee et al., “Three-Dimensional MBCFET as an Ultimate Transistor,” IEEE Electron Device Lett., vol. 25, no. 4, pp. 217–219, Apr. 2004.
[3.4] E.-J. Yoon et al., “Sub 30 nm Multi-Bridge-Channel MOSFET(MBCFET) with Metal Gate Electrode for Ultra High Performance Application,” in IEDM Tech. Dig., 2004, pp. 627–630.
[3.5] S.-Y. Lee et al., “Single-Metal Gate Multi-Bridge-Channel MOSFET (MBCFET) for CMOS Application,” in Proc. IEEE Int. Conf. Integr. Circ. Des. Technol., 2005, pp. 199–202.
[3.6] S.-Y. Lee et al., “Sub-25nm Single-Metal Gate CMOS Multi-Bridge-Channel MOSFET (MBCFET) for High Performance and Low Power Application,” in VLSI Symp. Tech. Dig., 2005, pp. 154–155.

[4.1] J.-T. Lin, Y.-C. Eng, C.-H. Chen, and Y.-H. Fan, “Additional-Body Effects in a Self-Aligned Deca-Nanometer Ultrathin-Body and Buried Oxide Silicon-on-Insulator Metal–Oxide–Semiconductor Field-Effect Transistor: A Three-Dimensional Simulation Study,” Jpn. J. Appl. Phys., vol. 50, no. 11, pp. 110210-1–110210-3, Nov. 2011.
[4.2] International Technology Roadmap for Semiconductors. (2007). [Online]. Available: www.itrs.net
[4.3] E. Bernard et al., “Novel integration process and performances analysis of Low STandby Power (LSTP) 3D Multi-Channel CMOSFET (MCFET) on SOI with Metal / High-K Gate stack,” in VLSI Symp. Tech. Dig., 2008, pp. 16–17.
[4.4] E. Bernard et al., “First Internal Spacers’ Introduction in Record High ION/IOFF TiN/HfO2 Gate Multichannel MOSFET Satisfying Both High-Performance and Low Standby Power Requirements,” IEEE Electron Device Lett., vol. 30, no. 2, pp. 148–151, Feb. 2009.
[4.5] E. Bernard et al., “Multi-Channel Field-Effect Transistor (MCFET)—Part I: Electrical Performance and Current Gain Analysis,” IEEE Trans. Electron Devices, vol. 56, no. 6, pp. 1243–1251, Jun. 2009.
[4.6] E. Bernard et al., “Multi-Channel Field-Effect Transistor (MCFET)—Part II: Analysis of Gate Stack and Series Resistance Influence on the MCFET Performance,” IEEE Trans. Electron Devices, vol. 56, no. 6, pp. 1252–1261, Jun. 2009.
[4.7] T. C. Lim et al., “Analog/RF Performance of Multichannel SOI MOSFET,” IEEE Trans. Electron Devices, vol. 56, no. 7, pp. 1473–1482, Jul. 2009.

[5.1] J.-T. Lin, Y.-C. Eng, K.-D. Huang, T.-Y. Lee, and K.-C. Lin, “Ultra-Short-Channel Characteristics of Planar MOSFETs With Block Oxide,” in Proc. 13th Int. Symp. Phys. Failure Anal. Integr. Circuits, 2006, pp. 146–149.
[5.2] J.-T. Lin, Y.-C. Eng, T.-Y. Lee, and K.-C. Lin, “A Nanoscale bSPIFET to Overcome CMOS Scaling,” in Proc. IEEE Int. SOI Conf., 2006, pp. 85–87.
[5.3] J.-T. Lin and Y.-C. Eng, “Influence of Block Oxide Width on a Silicon-on-Partial-Insulator Field-Effect Transistor,” IEEE Trans. Electron Devices, vol. 54, no. 11, pp. 2893–2900, Nov. 2007.
[5.4] J.-T. Lin and Y.-C. Eng, “A Novel Blocking Technology for Improving the Short-Channel Effects in Polycrystalline Silicon TFT Devices,” IEEE Trans. Electron Devices, vol. 54, no. 12, pp. 3238–3244, Dec. 2007.
[5.5] J.-T. Lin and Y.-C. Eng, “Analysis of Block Oxide Height Variations for a 40nm Gate Length bFDSOI-FET,” J. Comput., vol. 3, no. 5, pp. 41–45, May 2008.
[5.6] S. H. Kim et al., “Lateral Integration of Partially Insulated and Bulk MOSFETs Using Partial SOI Process,” in Proc. IEEE Int. SOI Conf., 2005, pp. 174-175.
[5.7] Y.-C. Eng and J.-T. Lin, “Source/drain-tied poly-Si thin-film transistor with π-shaped active region for device reliability improvement,” J. Appl. Phys., vol. 101, no. 10, pp. 104501-1–104501-5, May 2007.
[5.8] Y.-C. Eng and J.-T. Lin, “Advanced π-FET Technology for 45 nm Technology Node,” in Proc. 14th Int. Symp. Phys. Failure Anal. Integr. Circuits, 2007, pp. 185–188.
[5.9] Y.-C. Eng, J.-T. Lin, K.-D. Huang, T.-Y. Lee, and K.-C. Lin, “An Investigation of the effects of Si thickness-induced variation of the electrical characteristics in FDSOI with block oxide,” in Proc. 8th Int. Conf. Solid-State Integr. Circ. Technol., 2006, pp. 61–64.
[5.10] J.-T. Lin and Y.-C. Eng, “Characterization of the Self-aligned Pseudo-SOI Device Structures,” in Proc. 8th Int. Conf. Solid-State Integr. Circ. Technol., 2006, pp. 68–71.
[5.11] M. Jurczak et al., “SON (Silicon On Nothing) - A NEW DEVICE ARCHITECTURE FOR THE ULSI ERA.,” in VLSI Symp. Tech. Dig., 1999, pp. 29–30.
[5.12] S. Monfray et al., “SON (Silicon-On-Nothing) technological CMOS Platform: Highly performant devices and SRAM cells,” in IEDM Tech. Dig., 2004, pp. 635–638.
[5.13] T. Skotnicki and S. Monfray, “Silicon-On-Nothing (SON) technology,” in Proc. 8th Int. Conf. Solid-State Integr. Circ. Technol., 2006, pp. 11–14.

[6.1] Y.-C. Eng et al., “The Effect of Block Oxide Height on a Self-aligned Source/Drain-tied nBOFET,” in Proc. 26th Int. Conf. Microelectron., 2008, pp. 495–498.
[6.2] J.-T. Lin, Y.-C. Eng, and S.-S. Kang, “A Study of LBO Effects in a 40 nm SA-MSCFET,” in Proc. IEEE Int. Conf. Integr. Circuit Des. Technol., 2008, pp. 67–70.
[6.3] H.-J. Tseng et al., “Misalignment Issue between the Si-body and the Gate of a 30nm bSPIFET,” in Proc. 9th Int. Conf. Solid-State Integr. Circuits Technol., 2008, pp. 227–230.
[6.4] Y.-M. Tseng, et al., “A New Process for Self-aligned Silicon-On-Insulator with Block Oxide and Its Memory Application for 1T-DRAM,” in Proc. 9th Int. Conf. Solid-State Integr. Circuits Technol., 2008, pp. 1154–1157.
[6.5] J.-T. Lin et al., “Future of Planar Self-Aligned Block Oxide Based MOSFET Technology,” in Proc. IEEE Int. Conf. Integr. Circuit Des. Technol., 2009, pp. 181–184.
[6.6] M. D. Jacunski, M. S. Shur, and M. Hack, “Threshold Voltage, Field Effect Mobility, and Gate-to-Channel Capacitance in Polysilicon TFT’s,” IEEE Trans. Electron Devices, vol. 43, no. 9, pp. 1433–1440, Sept. 1996.
[6.7] A. A. Orouji and M. J. Kumar, “A New Poly-Si TG-TFT With Diminished Pseudosubthreshold Region: Theoretical Investigation and Analysis,” IEEE Trans. Electron Devices, vol. 52, no. 5, pp. 1815–1820, Aug. 2005.
[6.8] A. A. Orouji and M. J. Kumar, “Leakage Current Reduction Techniques in Poly-Si TFTs for Active Matrix Liquid Crystal Displays: A Comprehensive Study,” IEEE Trans. Device Mater. Reliab., vol. 6, no. 2, pp. 315–325, Jun. 2006.
[6.9] M. J. Kumar and A. A. Orouji, “A New Gate Induced Barrier Thin-Film Transistor (GIB-TFT) for Active Matrix Liquid Crystal Displays: Design and Performance Considerations,” IEEE/OSA J. Disp. Technol., vol. 2, no. 4, pp. 372–377, Dec. 2006.
[6.10] H. Wang et al., “Super Thin-Film Transistor with SOI CMOS Performance Formed by a Novel Grain Enhancement Method,” IEEE Trans. Electron Devices, vol. 47, no. 8, pp. 1580–1586, Aug. 2000.
[6.11] S. Jagar et al., “Single Grain Thin-Film-Transistor (TFT) with SO1 CMOS Performance Formed by Metal-Induced-Lateral-Crystallization,” in IEDM Tech. Dig., 1999, pp. 293–296.
[6.12] S. Jagar, H. Wang, and M. Chan, “Design Methodology of the High Performance Large-Grain Polysilicon MOSFET,” IEEE Trans. Electron Devices, vol. 49, no. 5, pp. 795–801, May 2002.
[6.13] C.-P. Chang and Y.C. S. Wu, “Improved Electrical Performance and Uniformity of MILC Poly-Si TFTs Manufactured Using Drive-In Nickel-Induced Lateral Crystallization,” IEEE Electron Device Lett., vol. 30, no. 11, pp. 1176–1178, Nov. 2009.
[6.14] D. A. Dallmann and K. Shenai, “Scaling Constraints Imposed by Self-Heating in Submicron SO1 MOSFET’s,” IEEE Trans. Electron Devices, vol. 42, no. 3, pp. 489–496, Mar. 1995.
[6.15] Y.-C. Eng et al., “A Three-Dimensional Simulation Study of Source/Drain-Tied Double-Gate Fin Field-Effect Transistor Design for 16-nm Half-Pitch Technology Generation and Beyond,” Jpn. J. Appl. Phys., vol. 50, no. 8, pp. 084301-1–084301-7, Aug. 2011.
[6.16] C. Hu, Chapter 6 MOS Transistor. [Online]. Available: www.eecs.berkeley.edu/~hu/Chenming-Hu_ch6.pdf
[6.17] K.-D. Huang, “Fabrication and Characterization of Polycrystalline Silicon Thin Film Transistor with Novel Buried-Oxide Structure,” Ph.D. dissertation, Jun. 2008.
[6.18] J.-T. Lin, T.-F. Chang, Y.-C. Eng, P.-H. Lin, and C.-H. Chen, “Characteristics of a Smiling Polysilicon Thin-Film Transistor,” IEEE Electron Device Lett., vol. 33, no. 6, pp. 830–832, Jun. 2012.
電子全文 Fulltext
本電子全文僅授權使用者為學術研究之目的,進行個人非營利性質之檢索、閱讀、列印。請遵守中華民國著作權法之相關規定,切勿任意重製、散佈、改作、轉貼、播送,以免觸法。
論文使用權限 Thesis access permission:自定論文開放時間 user define
開放時間 Available:
校內 Campus: 已公開 available
校外 Off-campus: 已公開 available


紙本論文 Printed copies
紙本論文的公開資訊在102學年度以後相對較為完整。如果需要查詢101學年度以前的紙本論文公開資訊,請聯繫圖資處紙本論文服務櫃台。如有不便之處敬請見諒。
開放時間 available 已公開 available

QR Code