Responsive image
博碩士論文 etd-0726111-152037 詳細資訊
Title page for etd-0726111-152037
論文名稱
Title
先進SOI 與High-k/Metal Gate 之金氧半場效電晶體電性分析與可靠度研究
Investigation on the Electrical Analysis and Reliability Issues in Advanced SOI and High-k/Metal Gate MOSFETs
系所名稱
Department
畢業學年期
Year, semester
語文別
Language
學位類別
Degree
頁數
Number of pages
234
研究生
Author
指導教授
Advisor
召集委員
Convenor
口試委員
Advisory Committee
口試日期
Date of Exam
2011-07-06
繳交日期
Date of Submission
2011-07-26
關鍵字
Keywords
絕緣層覆矽、高介電係數絕緣層、金屬閘極、金氧半場矽電晶體
metal gate, high-k, silicon on insulator, MOSFETs
統計
Statistics
本論文已被瀏覽 5872 次,被下載 3524
The thesis/dissertation has been browsed 5872 times, has been downloaded 3524 times.
中文摘要
在高效能積體電路的應用上,金氧半場效電晶體扮演著舉足輕重的角色,原因是因為其本身的低製造成本、低功率消耗以及可微縮化的優點。然而傳統電晶體持續微縮化將面臨短通道效應,甚至閘極漏電等問題,這不但降低閘極控制能力且增加了元件本身的功\\\\率消耗。對於65奈米以下的超大型積體電路應用上,空乏絕緣層覆矽(Silicon on Insulator; SOI)與高介電係數絕緣層/金屬閘極堆疊結構(high-k/metal-gate stacks)技術因此而誕生。在此論文中,我們深入研究新穎金氧半場效電晶體的電性分析和可靠度研究。以元件結構區分,大致上可將本論文分成兩部分,分別為部分空乏絕緣層覆矽(partially depleted SOI)與高介電係數絕緣層/金屬閘極堆疊結構之金氧半場效電晶體。
在部分空乏絕緣層覆矽金氧半場效電晶體這部分,我們首先探討閘極引發浮接基極效應(Gate-Induced Floating Body Effect: GIFBE)的電性,並系統性地研究其物理機制。根據不同的操作的實驗結果顯示,主要物理模型為陽極電洞注入(Anode Hole Injection: AHI)機制,而非一般文獻所提到的價帶電子直接穿遂(Electron Valence Band: EVB)。此外,我們也討論在不同溫度下GIFBE的電性變化,此實驗更驗證了聚積在基板的電洞主要是藉由AHI從多晶矽閘極直接穿遂而來。根據實驗所驗證的AHI物理模型,我們提出一項產生應力的方法來研究GIFBE在受到外界應力的影響。我們發現應力所引發的閘極漏電下降並不會抑制GIFBE的現象,反而使其更加嚴重。根據能帶理論,我們歸納GIFBE變得更嚴重的主要原因,是由於應力造成多晶矽閘極矽能帶窄化,引發AHI碰撞由離所需的能量下降所致。
近年來,在p型電晶體的負偏壓溫度不穩定性(Negative Bias Temperature Instability: NBTI) 扮演著隨時間正常操作下的主要劣化機制。因此,我們接下來將針對p型空乏絕緣層覆矽金氧半場效電晶體,探討GIFBE對負偏壓溫度不穩定性的影響。實驗結果指出GIFBE造成電子累積在基板上,使元件在負偏壓操作下的電場的下降,抑制了NBTI的劣化行為。這些累積在基板電子的來源,部分可歸因於製程所引發的部分n型多晶矽金屬直接穿遂而來。然而根據不同的操作條件,我們提出類似於AHI,稱陽極電子注入(Anode Electron Injection: AEI)的物理模型去解釋大部份的電子在NBTI條件下的產生機制。最後,根據我們提出來的AEI模型,更進一步去研究在GIFBE條件下,外界機械應力對NBTI的劣化情況。
另外一方面,當互補式金氧半場效電晶體微縮至45nm以下時,二氧化矽絕緣層與多晶矽閘極的傳統結構,因閘極漏電過大而無法繼續使用。因此,在本論文的第二部份,我們藉由多種量測方式,如分離式電容-電壓、電荷汲取與直流式量測來建立並分析具有氧化鉿絕緣層/氮化鈦閘極電晶體之電性特性與物理機制研究。實驗結果顯示不同鈦濃度的金屬閘極將影響元件的基本參數,包括起始電壓、載子移動率及次臨界擺幅。此外閘極內部不同的氮濃度也會影響閘極漏電程度與介面缺陷密度。這些現象與氮擴散到高介電閘極層與通道介面有關。
在可靠度方面,由於大量的缺陷存在高介電係數材料本身所造成電荷補獲效應,使得元件本身的起始電壓飄移與驅動電流降低。且元件在實際電路應用上,是處在交流訊號下操作。因此接下來,我們將針對氧化鉿絕緣層/氮化鈦閘極電晶體進行動態偏壓條件下電荷捕獲所引發起始電壓的可靠度研究。同時也比較在靜態偏下元件劣化的情形。實驗結果顯示元件的起始電壓在動態偏壓條件下比靜態劣化的更加嚴重。此外,我們也發現隨著動態頻率的抬升,起始電壓劣化的越明顯。利用分離式電容-電壓量測技術,我們提出電荷捕獲主要發生處為源/汲極與閘極重疊處的說法,提出可能的物理模型,並加以驗證。根據我們提出來的機制,再進一步去探討不同鈦濃度的金屬閘極在動態偏壓條件下的劣化情況。
除此之外,我們更進一步研究在動態偏壓條件下,溫度效應對分別對N型與P型電晶體的起始電壓的影響。實驗結果指出在N型與P型電晶體分別在動態正偏壓(dynamic positive bias)與動態負偏壓(dynamic negative bias)下,隨溫度升高,有一相反劣化趨勢存在。溫度越高,N型電晶體的起始電壓平移將會有降低的現象產生。這主要是由於源/汲極處在高溫下捕獲的電子,有機會藉由熱發射跳躍至導電帶,導致深缺陷捕獲電子的量,隨溫度越高將有下降的現象。而P型電晶體隨溫度越高,有一相反劣化趨勢。這主要是由於高溫下,動態負偏壓所引起的負偏壓溫度不穩定性所造成的結果。
另一方面,在尺寸不斷微縮下,n型熱載子劣化仍是可靠度方面研究的重點。然而,在高介電係數絕緣層/金屬閘極堆疊結構下,熱載子劣化所造成的閘極引發的汲極漏電流(Gate-Induced Drain Leakage: GIDL)在文獻上較少人討論。因此在本論文的最後,我們將研究高介電係數絕緣層/金屬閘極電晶體,n型熱載子效應對GIDL的影響。實驗結果發現GIDL的劣化行為與閘極堆疊結構之介面氧化層厚度有強烈關係。當氧化層介面變薄時,GIDL電流的劣化行為將與傳統電晶體的劣化趨勢相反。根據改變閘極與汲極間的跨壓的實驗結果,我們提出缺陷輔助能帶間穿遂(trap-assisted band-to-band tunneling)的物理模型,來解釋這異常的GIDL劣化行為。最後,我們也進一步去探討不同鈦/氮比例濃度的金屬閘極在熱載子劣化下,GIDL電流的變化情形。發現隨著氮比例的增加,將會抑制GIDL的變化。這主要與氮擴散到高介電層材料內部修補氧空缺,降低高介電層內部缺陷有關。
Abstract
For the high performance integrated circuits applications such as microprocessors, memories and high power devices, the metal-oxide-semiconductor field effect transistors (MOSFETs) is the most important device due to its low cost, power consumption and scalable property especially. However, the aggressive scaling of conventional MOS devices suffered from noticeable short channel effects such as drain induction barrier lower, punch through, and direct tunneling gate leakage. Those problems not only lower the gate control ability but also increase the standby power consumption. For future VLSI devises below 65 nm regimes, silicon-on-insulator (SOI) and high-k/metal gate MOSFETs are considered to be possible candidates because of faster operation speed and lower power consumption. Therefore, this dissertation investigates the electrical characteristics and reliability issues of novel MOSFETs for 65 nm and below technology. It is roughly divided into two parts, partially depleted (PD) SOI MOSFETs and high-k/metal gate stack MOSFETs, respectively.
In the first part, we systematically investigate the mechanism of gate-induced floating body effect (GIFBE) for advanced PD SOI n-MOSFETs. Based on different operation conditions, it was found that the dominant mechanism can be attributed to the anode hole injection (AHI) rather than the widely accepted mechanism of electron-valence band (EVB) tunneling. Analyzing the GIFBE in different temperature provides further evidence that the accumulation of holes in the body results from the AHI induced direct tunneling current from the poly-Si gate. In addition, we proposed an approach by bending silicon substrate to further study the impact of mechanical strain on GIFBE. The experimental result indicates that the strain effect indeed decreases the gate leakage current, but increases the hole-valence band (HVB) tunneling current, which indicates that GIFBE becomes serious under mechanical strain. Based on our proposed AHI model, this phenomenon can be mainly due to strain-induced band gap narrowing in the poly-Si gate.
In p-type MOSFETs, the reliability issue, named negative bias temperature instability (NBTI), is the dominant degradation mechanism during ON-state operation. Therefore, we also investigate the GIFBE on NBTI degradation for PD SOI p-MOSFETs. The experimental results indicate GIFBE causes a reduction in the electrical oxide field, leading to an underestimate of NBTI degradation. This can be partially attributed to the electrons tunneling from the process-induced partial n+ poly gate. However, based on different operation conditions, we found the dominant origin of electrons was strongly dependent on holes in the inversion layer under source/drain grounding. Therefore, we propose the anode electron injection (AEI) model, similar to anode hole injection model, to explain how this main electron origin is generated during the NBTI stress. Finally, based on our proposed model, we further study influence of mechanical strain on GIFBE for SOI p-MOSFETs.
On the other hand, the SiO2 dielectric and poly-gate are unsuitable for CMOS application below 45 nm technology node due to unacceptable gate leakage current. Therefore, in the second part of this thesis, we investigate the electrical characteristics and physical mechanisms for MOSFETs with HfO2/TixN1-x stacks by using split C-V, DC Id-Vg, and charge pumping techniques. The experimental results indicates that different ratio of Ti strongly affect various parameters, including threshold voltage, mobility, and subthreshold swing, respectively. In addition, the gate leakage current is also strongly dependent on the nitrogen in metal gate. By charge pumping technique, it was found that with increasing Ti concentration of metal gate, there is a trade-off relationship among the interface traps and bulk defects of high-k dielectric. This phenomenon is associated with the amount of nitride diffusion from the metal gate to high-k bulk and SiO2/Si interface layer.
In the aspects of reliability, charge trapping in high-k gate stacks remains an important issue since it causes the threshold voltage (Vth) shift and drive current degradation. This phenomenon can be attributed to a large number of pre-existing traps in the high-k dielectric layer. In real circuit operation, the devices are generally operated in the dynamic condition. Therefore, the following study further investigates Vth instability of Hf-based n-MOSFETs under the dynamic bias operation. The static condition was also performed on the identical device for a comparison. The results indicate threshold voltage (Vth) instability under dynamic stress is more serious than that under static stress, owning to transient charge trapping within high-k dielectric. In addition, the Vth shift clearly increases with an increase in dynamic stress operation frequency. According to these experimental results, we propose a possible physical model for electron trapping phenomena under dynamic stress. Based on our proposed model, we further dynamic stress induced charge trapping characteristics for devices with different Ti1-xNx composition of metal-gate electrodes.
In addition, we further respectively investigates the temperature dependence of dynamic positive bias stress (PBS) and negative bias stress (NBS) degradation in n-type and p-type MOSFETs with high-k/metal gate stacks. The experimental results indicate there is a contrary trend in temperature dependence of Vth shifts for n- and p-MOSFETs under dynamic PBS and NBS, respectively. The Vth shift decreases with increasing temperature for n-MOSFETs under dynamic PBS. This is due to the thermal emission of trapped electrons in high temperature, leading to the reduction in. A contrary trend with temperature for p-MOSFETs under dynamic NBS can be attributed to the interface trap generation induced by NBTI.
On the other hand, hot carrier effect in high-k/metal gate n-MOSFETs was still one of major device reliability concern in device scaling. However, the stress-induced drain leakage current degradation in device with high-k/metal gate stacks has not received as much attention. In fact, the GIDL behavior is associated with phenomenon of charge trapping in high-k dielectric layer. Therefore, the final study is to investigate the effects of channel hot carrier stress (CHCS) on the gate-induced drain leakage current (GIDL) for n-MOSFETs with HfO2/Ti1-xNx gate stacks. It was found that the behavior of GIDL current during CHCS has dependence with the interfacial layer (IL) oxide thickness of high-k/metal gate stacks. As IL thickness becomes thinner, the GIDL current has a gradual decrease during CHCS, which is contrary to the result of thick-oxide IL devices. Based on the variation of GIDL current in different stress voltage across gate and drain terminals, trap-assisted band to band holes injection model was proposed to explain the different behavior of GIDL current for different IL thickness. Furthermore, we also investigated the impact of different Ti1-xNx composition of metal gate electrode on the IGIDL after CHCS, and observed that the magnitude of IGIDL decreases with the increase of nitride ratio. This is due to the fact that nitride atoms diffusing from the metal gate fill up oxygen vacancies, and reduce the concentration of traps in high-k dielectric.
目次 Table of Contents
Contents
Abstract (Chinese) i
Abstract (English) v
Acknowledgements xi
Contents .xiv
Figure Captions .xviii
Table Captions xxvii

Chapter 1 Introduction
1.1 General background 1
1.1.1 Overview of Strained Silicon Channel Engineering 2
1.1.2 Overview of Silicon on Insulator Technology 4
1.1.3 Overview of High-k/Metal Gate Stacks Technology 6
1.2 Motivation 7
1.3 Organization of this Dissertation 8
References 11

Chapter 2 Parameter Extraction and Measurement Technique
2.1 Method of Device Parameter Extraction 23
2.2 Principle of Measurement Technique 25
2.2.1 Charge Pumping Measurement 25
2.2.2 Split C-V Technique 28
2.2.3 Pulse I-V Technique 30
Reference 32
Chapter 3 Physical Mechanism of Gate-Induced Floating-Body Effect in Partially Depleted SOI n-MOSFETs
3.1 Introduction 45
3.2 Experiment 46
3.3 Results and Discussion 47
3.4 Summary 51
References 52

Chapter 4 Impact of Uniaxial Strain on GIFBE in Partially Depleted SOI n-MOSFETs
4.1 Introduction 61
4.2 Effects of Strain on the Conduction Band 62
4.3 Experiment 64
4.4 Results and Discussion 65
4.5 Summary 68
References 69

Chapter 5 Gate-Induced Floating Body Effect on NBTI Degradation in Strained PD SOI p-MOSFETs
5.1 Introduction 80
5.2 Mechanism of Negative Bias Temperature Instability 82
5.3 Experiment 85
5.4 Results and Discussion 85
5.5 Summary 91
References 93

Chapter 6 Impact of TixN1-x on Electrical Characteristic in High-k/Metal-Gate MOSFETs
6.1 Introduction 107
6.2 Experiment 110
6.3 Results and Discussion 111
6.4 Summary 119
References 121

Chapter 7 Charge Trapping Induced Frequency-Dependence Threshold Voltage Shift in High-k/Metal Gate n-MOSFETs
7.1 Introduction 139
7.2 Experiment 141
7.3 Results and Discussion 142
7.4 Summary 147
References 149

Chapter 8 Temperature Dependence of Dynamic PBS and NBS Degradation for high-k/metal gate stacks MOSFETs
8.1 Introduction 161
8.2 Experiment 162
8.3 Results and Discussion 163
8.4 Summary 166
References 168

Chapter 9 Hot Carrier Effect on Gate-Induced Drain Leakage Current in n-MOSFETs with HfO2/Ti1-xNx Gate Stacks
9.1 Introduction 175
9.2 Experiment 177
9.3 Results and Discussion 178
9.4 Summary 182
References 184

Chapter 10 Conclusion and Future Work
10.1 Conclusion 193
10.2 Suggestions for Further Study 197

Publication List 199
Vita 203
參考文獻 References
References
[1.1] D. A. Buchanan, IBM J. Res. Dev., 43, 243 (1999).
[1.2] Ghani T, Mistry K, Packan P, Thompson S, Stealer M, Tyagi S, “Scaling Challenges and Device Design Requirements for High Performance Sub-50 nm Gate Length Planar CMOS Transistors,” VLSI Tech Dig. , 174 (2000).
[1.3] P. P. Wang, “Device characteristics of short-channel and narrow-width MOSFETs,” IEEE Trans. Electron Dev., ED-25, 779 (1978).
[1.4] R. H. Dennard, F. H. Gaensslen, H. Yu, V. L. Rideout E. Bassons and A. R. LeBlanc, “Design of ion-implanted MOSFETs with very small physical dimensions,” IEEE J. Solid-State Circuits, SC-9, 256 (1974).
[1.5] J. L. Hoyt, H. M. Nayfeh, S. Eguchi, I. Aberg, G. Xia, T. Drake, E. A. Fitzgerald and D. A. Antoniadis, “Strained silicon MOSFETs technology,” IEDM Tech. Dig., 23 (2002).
[1.6] M. L. Lee and E. A. Fitzgerald, “Hole mobility enhancement in nanometer-scale strained-silicon heterostructures grown on Ge-rich relaxed Si1-xGex,” J. Appl. Phys. 94, 2590 (2003).
[1.7] K. Rim, J. Chu, H. Chen, K. A. Jenkins, T. Kanarsky, K. Lee, A. Mocuta, H. Zhu, R. Roy, J. Newbury, J. Ott, K. Petrarca, P. Mooney, D. Lacey, S. Kowster, K. Chan, D. Boyd, M. Leong and H. S. Wong, “Characteristics and device design of sub-100 nm strained Si n- and pMOSFET,” Symp. VLSI Tech. Dig., 98 (2002).
[1.8] Scott E. Thompson, M. Armstrong, C. Auth, S. Cea, R. Chau, G. Class, T. Hoffman, J. Klaus, Z. Ma, B. Mcintyre, A. Murthy, B. Obradovic, L. Shifren, S. Sivakumar, S. Tyagi, T. Ghani, K. Mistry, M. Bohr and Y. El-Mansy, “A logic nanotechnology featuring strained silicon,” IEEE Electron Device Lett., 25, 191 (2004).
[1.9] A. Shimizu, K. Hachimine, N. Ohki, H. Ohta, M. Koguchi, Y. Nonaka, H. Sato, F. Ootsuka, “Local mechanical-stress control (LMC): a new technique for CMOS-performance enhancement,” in IEDM Tech Dig., 433 (2001).
[1.10] K. Mistry, M. Armstrong, C. Auth, S. Cea, T. Coan, T. Ghani, T. Hoffmann, A. Murthy, J. Sandford, R. Shaheed, K. Zawadzki, K. Zhang, S. Thompson, M. Bohr, “Delaying Forever: Uniaxial Strained Silicon Transistors in a 90nm CMOS Technology,” VLSI Technology Symposium, 50 (2004).
[1.11] C. Gallon, G. Reimbold, G. Ghibaudo, R.A. Bianchi and R. Gwoziecki, “Electric analysis of external mechanical stress effects in short channel MOSFETs on (001) silicon,” Solid State Electronics, 48, 561 (2004).
[1.12] Y. G. Wang, D. B. Scott, J. Wu, J. L. Waller, J. Hu, K. Liu, and V. Ukraintsev, “ Effects of uniaxial mechanical stress on drive current of 0.13 um MOSFETs,” IEEE Trans. Electron Dev., 50, 529 (2003).
[1.13] L. J. McDaid, S. Hall, W. Eccleston, and J. C. Alderman, “Negative Resistance in the Output Characteristics of SOI MOSFETs,” Proc. IEEE SOS/SOI Tech. Conf., 33, (1989).
[1.14] M. Casse, J. Pretet, S. Cristoloveanu, T. Poiroux, C. Fenouillet-Beranger, F. Fmleux, C. Raynaud, and G. Reimbold, “Gate-induced floating-body effect in fully depleted SOI MOSFETs with tunneling gate oxide and back-gate biasing,” Solid State Electron., 48, 1243 (2004).
[1.15] H. Lin, J. Lin, and R. C. Chang, “Inversion-layer induced body current in SOI MOSFETs with body contacts” IEEE Electron Device Lett., 24, 111 (2003).
[1.16] W. C. Lo, S. J. Chang, C. Y. Chang, and T. S. Chao, “Impacts of gate structure on dynamic threshold SOI nMOSFETs,” IEEE Electron Device Lett., 23, 497 (2002).
[1.17] C. Y. Chang, S. J. Chang, T. S. Chao, S. D. Wu, and T. Y. Huang, “Reduced reverse narrow channel effect in thin SOI nMOSFETs,” IEEE Electron Device Lett., 21, 460 (2000).
[1.18] S. H. Lo, D. A. Buchanan, Y. Taur and W. Wang, “Quantum-mechanical modeling of electron tunneling current from the inversion layer of ultra-thin-oxide,” IEEE Electron Device Lett., 18, 209 (1997).
[1.19] International Technology Roadmap for Semiconductors (Semiconductor Industry Association, San Jose, CA, 2001).
[1.20] Intel’s High k/Metal Gate Announcement (2003)
[1.21] S. Toyoda, J. Okabayashi, H. Kumigashira, M. Oshima, K. Yamashita, M. Niwa, K. Usuda and G. L. Liu, “Crystallization in HfO2 gate insulators with in situ annealing studied by valence-band photoemission and X-ray absorption spectroscopy,” J. Appl. Phys., 97, 104507 (2005).
[1.22] R. Puthenkovilakam, M. Sawkar and J. P. Chang, “Electrical characteristics of postdeposition annealed HfO2 on silicon,” Appl. Phys. Lett., 86, 202902 (2005).
[1.23] J. Park, M. cho, S. K. Kim, T. J. Park, S. W. Lee, S. H. Hong and C. S. Hwang, “Influence of the oxygen concentration of atomic-layer-deposited HfO2 films on the dielectric property and interface trap density,” Appl. Phys. Lett., 86, 112907 (2005).
[1.24] Sufi Zafar, Alessandro Callegari, Evgeni Gusev and Massimo V. Fischetti, “Charge trapping in high-k gate dielectric stacks,” IEDM Tech. Dig., 517 (2002).
[1.25] J. Robertson, O. Sharia and A. A. Demkov, “Fermi level pinning by defects in HfO2-metal gate stacks,” Appl. Phys. Lett., 91, 132912 (2007).
[1.26] K. Akiyama, W. Wang, W. Mizubayashi, M. Ikeda, H. Ota, T. Nabatame and A. Toriumi, “VFB roll-off in HfO2 gate stack after high temperature annealing process – a crucial role of out-diffused oxygen from HfO2 to Si,” Symp. VLSI Tech. Dig., 72 (2007).
[1.27] M. V. Fischetti, D. A. Neumayar and E. A. Cartier, “Effective electron mobility in Si inversion layers in metal-oxide-semiconductor systems with a high-k insulator: role of remote phonon scattering,” J. Appl. Phys., 90, 4587 (2001).
[1.28] S. Datta, et al., “high mobility Si/SiGe strained cahnnel MOS transistors with HfO2/TiN gate stack,” IEDM tech. Dig., 653 (2003).
[1.29] R. Chau, S. Datta, M. Doczy, B. Doyle, J. Kavalieros and M. Metz, “High-k/metal-gate stack and its MOSFET characteristics,” IEEE Electron Device Lett., 25, 408 (2004).
References
[2.1] A. Ortiz-Conde, F. J. Carcia Sanchez, J. J. Liou, A. Cerdeira, M. Estrada and Y.Yue, “A review of recent MOSFET threshold voltage extraction methods,” Microelectronics Reliability, 42, 583 (2002).
[2.2] J. S. Brugler and P. G. A. Jespers, “Charge pumping in MOS devices,” IEEE Trans. Electron Dev. ED-16, 297 (1969).
[2.3] D. Bauza, “Rigorous analysis of two-level charge pumping: application to extraction of interface trap concentration versus energy profiles in metal-oxide-semiconductor transistors,” J. Appl. Phys, 94, 3229 (2003).
[2.4] G. Groeseneken, H.E. Maes, N. Beltran, R.F. De Keersmaecker, “A reliable approach to charge-pumping measurements in MOS transistors”, IEEE Trans. Electron devices, 31, 42 (1984).
[2.5] P. Heremans, J. Witters, G. Groeseneken, H.E. Maes, “Analysis of the charge pumping technique and its application for the evaluation of MOSFET degradation”, IEEE Trans. Electron devices, 36, 1318 (1989).
[2.6] M.B. Zahid, R. Degraeve, M. Cho, L. Pantisano, D.R. Aguado, J. Van Houdt, G. Groeseneken, M. Jurczak, “Deffect profiling in the SiO2/ Al2O3 interface using Variable Tcharge-Tdischarge Amplitude Charge Pumping (VT2ACP)”, IEEE International Reliability Physics Symposium, pp. 21-25 (2009).
[2.7] Takagi S., Toriumi A, “On the university of inversion layer mobility in Si MOSFETs: part I-effects of substrate impurity concentrate,” IEEE Trans. Electron Dev., 41, 2357 (1994).
[2.8] Takagi S., Toriumi A, “On the university of inversion layer mobility in Si MOSFETs: part II-effects of surface orientation,” IEEE Trans. Electron Dev., 41, 2363 (1994).
[2.9] A. G. Sabnis and J. T. Clemens, “Characterization of the electron mobility in the inverted (100) Si surface,” IEEE Int. Electron Dev. Meet., pp 18-21 (1979).
[2.10] S. C. Sun and J. D. Plummer, “Electron mobility in inversion and accumulation layers on thermally oxidized silicon surface,” IEEE Trans. Electron Dev., ED-27, 1497 (1980).
[2.11] B. H. Lee, C. D. Young, R. Choi, J. H. Sim, G. Bersuker, C. Y. Kang, R. Harris, G. A. Brown, K. Matthews, S. C. Song, N. Moumen, J. Barnett, P. Lysaght, K. S. Choi, H. C. Wen, C. Huffman, H. Alshareef, P. Majhi, S. Gopalan, J. Peterson, P. Kirsh, H.-J. Li, J. Gutt, M. Gardner, H. R. Huff, P. Zeizoff, R. Murto, L. Larson, and C. Ramiller, “Intrinsic characteristics of fast transient charging effects (FCTE),” in IEDM Tech. Dig., 2004, pp. 859–862.
[2.12] C. Shen, M. F. Li, X. P. Wang, H. Y. Yu, Y. P. Feng, A. T. L. Lim, Y. C. Yeo, D. S. H. Chan, and D. L. Kwong, “Negative U traps in HfO2 gate dielectrics and frequency dependence of dynamic BTI in MOSFETs,” in IEDM Tech. Dig., 2004, pp. 733–736.
[2.13] D. Heh, R. Choi, C. D. Young, B. H. Lee, and G. Bersuker, “A novel bias temperature instability characterization methodology for high-κ MOSFETs,” IEEE Electron Device Lett., 27, 849 (2006).
[2.14] A. Kerber, E. Cartier, L. Pantisano, M. Rosmeulen, R. Degraeve, T. Kauerauf, G. Groeseneken, H. E. Maes, and U. Schwalke, “Characterization of the V instability in SiO /HfO gate dielectrics,” in Proc.IEEE 41st Annu. Int. Reliability Physics Symp., 2003, pp. 41–45.
[2.15] C. D. Young, G. Bersuker, G. A. Brown, P. Lysaght, P. Zeitzoff, R. W. Murto, and H. R. Huff, “Charge trapping and device performance degradation in MOCVD hafnium-based gate dielectric stack structures,” in Proc. IEEE 43nd Annu. IEEE Int. Reliability Physics Symp., 2004, pp. 597–598.
[2.16] C. D. Young, R. Choi, J. H. Sim, B. H. Lee, P. Zeitzoff, Y. Zhao, K. Matthews, G. A. Brown, and G. Bersuker, “Interfacial layer dependence of HfSi O gate stacks on V instability and charge trapping using ultrashort pulse I–V characterization,” in Proc. IEEE 42nd Annu. IEEE Int.Reliability Physics Symp., 2005, pp. 75–79.
[2.17] A. Kerber, E. Cartier, L. A. Ragnarsson, M. Rosmeulen, L. Pantisano, R. Degraeve, Y. Kim, and G. Groeseneken, “Direct measurement of the inversion charge in MOSFETs: Application to mobility extraction in alternative gate dielectrics,” in VLSI Symp. Tech. Dig., 2003, pp. 159–160.
[2.18] C. D. Young, Y. Zhao, M. Pendley, B. H. Lee, K. Matthews, J. H. Sim, R. Choi, G. A. Brown, R.W. Murto, and G. Bersuker, “Ultra-short pulse current—voltage characterization of the intrinsic charactistics of high-k devices,” Jpn J. Appl. Phys., 44, 2437 (2005).



References
[3.1] C.-H. Choi, K.-Y. Nam, Z. Yu, and R. W. Dutton, “Impact of gate direct tunneling current on circuit performance: A simulation study,” IEEE Trans. Electron Devices, 48, 2823, (2001).
[3.2] A. Mercha, J.M. Rafi, E. Simoen, E. Augendre, C. Claeys, ““Linear kink effect” induced by electron valence band tunneling in ultrathin gate oxide bulk and SOI MOSFETs”, IEEE Trans. Electron. Dev., 50, 1675 (2003).
[3.3] M. Cassk, J. Pretet, S. Cristoloveanu, T. Poiroux, C. Fenouillet-Beranger, F. Fmleux. C. Raynaud, G. Reimbold, "Gate-induced floating-body effect in fully depleted SOI MOSFETs with tunneling gate oxide and back-gate biasing, Solid-State Electronics, 48, 1243 (2004).
[3.4] K. I. Na, S. Cristoloveanu, Y. H. Bae, P. Patruno, W. Xiong, J. H. Lee, “Gate-induced floating-body effect (GIFBE) in fully depleted triple-gate n-MOSFETs”, Solid-State Electronics, 53, 150 (2009).
[3.5] S. K. H. Fung, N. Zamdmer, I. Yang, M. Sherony, S.-H. Lo, L. Wagner, T.-C. Chen, G. Shahidi, and F. Assaderaghi, “Impact of the gate-to-body tunneling current on SOI history effect,” Proc. IEEE Int. SOI Conf., pp. 122–123 (2000).
[3.6] F. Dieudonné, J. Jomaah, and F. Balestra, “Gate-induced floating body effect excess noise in partially depleted SOI MOSFETs,” IEEE Electron Device Lett., 23, 737 (2002).
[3.7] A. Mercha, E. Simoen, H. van Meer, and C. Claeys, “Low-frequency noise overshoot in ultrathin gate oxide silicon-on-insulator metal-oxide semiconductor field-effect transistors,” Appl. Phys. Lett., 82, 1790 (2003).
[3.8] N. B. Lukyanchikova, M. V. Petrichuk, N. Garbar, A. Mercha, E. Simoen, and C. Claeys, “Electron valence-band tunneling-induced lorentzian noise in deep submicron silicon-on-Insulator metal-oxide-semiconductor field-effect transistors,” J. Appl. Phys., 94, 4461 ( 2003).
[3.9] Simoen, A. Mercha, Member, IEEE, J. M. Rafí, C. Claeys, Senior Member, IEEE, N. B. Lukyanchikova, and N. Garbar, “Explaining the Parameters of the Electron Valence-Band Tunneling Related Lorentzian Noise in Fully Depleted SOI MOSFETs”, IEEE Trans. Electron Devices Letters, 24, 751 (2003).
[3.10] Paula Ghedini Der Agopian, Joao Antonio Martino, Eddy Simoen, Cor Claeys, “Study of the linear kink effect in PD SOI nMOSFETs”, Microelectronics Journal, 38, 114 (2007).
[3.11] V. C. Su, I. S. Lin, J. B. Kuo, G. S. Lin, D. Chen, C. S. Yeh, C. T. Tsai, and M. Ma “Breakdown Behavior of 40-nm PD-SOI NMOS Device Considering STI-Induced Mechanical Stress Effect”, IEEE Trans. Electron Devices Letters, 29, 612 (2008).
[3.12] W.-C. Lee and C. Hu, “Modeling CMOS tunneling currents through ultrathin gate oxide due to conduction- and valence-band electron and hole tunneling,” IEEE Trans. Electron Devices, 48, 1366 (2001).
[3.13] Ji-Woon Yang, Jerry G. Fossum, Glenn O. Workman, Cheng-Liang Huang, “A physical model for gate-to-body tunneling current and its effects on floating-body PD/SOI CMOS devices and circuits,” Solid-State Electronics, 48, 259 (2003).
[3.14] K. F. Schuegraf and C. Hu, “Hole injection SiO2 breakdown model for very low voltage lifetime extrapolation,” IEEE Trans. Electron Devices, 41, 761 (1994).
[3.15] Eric M. Vogel, Da-Wei Heh, Joseph B. Bernstein, and John S. Suehl, “Impact of the Trapping of Anode Hot Holes on Silicon Dioxide Breakdown” IEEE Trans. Electron Devices, 23, 66 (2002).
[3.16] L. Vancaillie, V. Kilchytska, P. Delatte, L. Demeus, H. Matsuhashi, F. Ichikawa, and D. Flandre, “Peculiarities of the temperature behavior of SOI MOSFETs in the deep submicrom area,” IEEE Int. SOI Conf., pp. 78–79 (2003).

References
[4.1] J. C. Sturm, K. Tokunaga, and J. P. Colinge, “Increased Drain Saturation Current in Ultra-Thin Silicon-on-Insulator (SOI) MOS Transistors,” IEEE Electron Device Lett., 9, 460 (1988).
[4.2] Ghani T, Mistry K, Packan P, Thompson S, Stealer M, Tyagi S, “Scaling Challenges and Device Design Requirements for High Performance Sub-50 nm Gate Length Planar CMOS Transistors,” in VLSI Tech Dig., pp.174-175 (2000).
[4.3] A. Shimizu, K. Hachimine, N. Ohki, H. Ohta, M. Koguchi, Y. Nonaka, H. Sata, and F. Ootsuka, “Local mechanical-stress control (LMC): a new technique for CMOS-performance enhancement,” in IEDM Tech. Dig., pp. 433–436 (2001).
[4.4] K. Ota, K. Sugihara, H. Sayama, T. Uchida, H. Oda, T. Eimori, H. Morimoto, and Y. Inoue, “Novel locally strained channel technique for high performance 55 nm CMOS,” in IEDM Tech. Dig., pp. 27–30 (2002).
[4.5] C.-H. Ge, C.-C. Lin, C.-H. Ko, C.-C. Huang, Y.-C. Huang, B.-W. Chan, B.-C. Perng, C.-C. Sheu, P.-Y. Tsai, L.-G. Yao, C.-L.Wu, T.-L. Lee, C.-J. Chen, C.-T. Wang, S.-C. Lin, Y.-C. Yeo, and C. Hu, “Process-strained Si (PSS) CMOS technology featuring 3-D strain engineering,” in IEDM Tech. Dig., pp. 73–76 (2003).
[4.6] V. Chan, R. Rengarajan, N. Rovedo,W. Jin, T. Hook, P. Nguyen, J. Chen, E. Nowak, X. Chen, D. Lea, A. Chakravarti, V. Ku, S. Yang, A. Steegen, C. Baiocco, P. Shafer, H. Ng, S. Huang, and C. Wann, “High speed 45 nm gate length CMOSFETs integrated into a 90 nm bulk technology incorporating strain engineering,” in IEDM Tech. Dig., pp. 77–80 (2003).
[4.7] S. E. Thompson, M. Armstrong, C. Auth, S. Cea, R. Chau, G. Glass, T. Hoffman, J. Klaus, Z. Ma, B. Mcintyre, A. Murthy, B. Obradovic, L. Shifren, S. Sivakumar, S. Tyagi, T. Ghani, K. Mistry, M. Bohr, and Y. El-Mansy, “A logic nanotechnology featuring strained-silicon,” IEEE Electron Device Lett., 25, 191 (2004).
[4.8] Wei Zhao, Jianli He, Rona E. Belford, Lars-Erik Wernersson, and Alan Seabaugh, “Partially Depleted SOI MOSFETs Under Uniaxial Tensile Strain”, IEEE Electron Device Lett., 51, 317 (2004).
[4.9] W. Zhao, A. Seabaugh, V. Adams, D. Jovanovic, and B. Winstead, “Opposing Dependence of the Electron and Hole Gate Currents in SOI MOSFETs Under Uniaxial Strain ,” IEEE Electron Device Lett., 26, 410 (2005).
[4.10] S. Takagi, T. Mizuno, T. Tezuka, N. Sugiyama, T. Numata, K. Usuda, Y. Moriyama, S. Nakaharai, J. Koga, A. Tanabe, N. Hirashita, and T. Maeda, “Channel structure design, fabrication and carrier transport properties of strained-Si/SiGe-on-insulator (strained-SOI) MOSFETs,” in IEDM Tech. Dig., , pp. 57–60 (2003).
[4.11] Ji-Song Lim, Xiaodong Yang, Toshikazu Nishida, and Scott E. Thompson, “Measurement of conduction band deformation potential constants using gate direct tunneling current in n-type metal oxide semiconductor field effect transistors under mechanical stress,” Appl. Phys. Lett., 89, 073509 (2006).
[4.12] Pretet J, Matsumoto T, Poiroux T, Cristoloveanu S, Gwoziecki R, Raynaud C, et al. “New mechanism of body charging in partially depleted SOI-MOSFETs with ultrathin gate oxides.” In: Proc ESSDERC, p.515 (2002).
[4.13] A. Mercha, J.M. Rafi, E. Simoen, E. Augendre, C. Claeys, ““Linear kink effect” induced by electron valence band tunneling in ultrathin gate oxide bulk and SOI MOSFETs,” IEEE Trans. Electron Dev., 50, 1675 (2003).
[4.14] PGD Agopian, JA Martino, E. Simoen and C. Claeys, “Study of the linear kink effect in PD SOI nMOSFETs,” Microelectronics Journal, 38, 114 (2007).
[4.15] M. Casse, J. Pretet, S. Cristoloveanu, T. Poiroux, C. Fenouillet-Beranger, “Gate-induced floating-body effect in fully-depleted SOI MOSFETs with tunneling oxide and back-gate biasing” Solid-State Electronics, 48, 1243 (2004).
[4.16] S. Takagi, T. Mizuno, T. Tezuka, N. Sugiyama, S. Nakaharai, T. Numata, J. Koga, K. Uchida, “Sub-band structure engineering for advanced CMOS channels,” Solid-State Electronics, 49, 684 (2005).
[4.17] T. Irisawa, T. Numata, N. Sugiyama and S. Takagi, “On the origin of increase in substrate current and impact ionization efficiency in strained Si n- and p-MOSFET,” IEEE Trans Electron Dev., 52, 993 (2005).
[4.18] Y.J. Kuo, T.C. Chang, P.H. Yeh, S.C. Chen, C.H. Dai, C.H. Chao, “Substrate current enhancement in 65 nm metal-oxide-silicon field-effect transistor under external mechanical stress,” Thin Solid Films, 517, 1715 (2009).

References
[5.1] J. C. Sturm, K. Tokunaga, J.P. Colinge, “Increased drain saturation current in ultra-thin silicon-on-Insulator (SOI) MOS transistors” IEEE Electron Device Lett., 9, 460 (1988).
[5.2] J. P. Colinge, “Reduction of floating substrate effect in thin-film SOI MOSFETs,” Electron. Lett., vol. 22, pp. 187–188, 1986.
[5.3] J. P. Colinge, “Hot-electron effects in silicon-on-insulator n-channel MOSFETs,” IEEE Trans. Electron Devices, ED-34, 2173 (1987).
[5.4] S. Abo, M. Mizutani, K. Nakayama, T. Takaoka, T. Iwamatsu, Y. Yamaguchi, S. Maegawa, T. Nishimura, A. Kunomura, Y. Horino, and M. Takai, “Instability study of partially depleted SOI-MOSFET due to floating body effect using high energy nuclear microprobes,” in Ion Implantation Tech. Conf., 285 (2000).
[5.5] A. Mercha, J. M. Rafi, E. Simoen, E. Augendre, and C. Claeys, “‘Linear kink effect’ induced by electron valence band tunneling in ultrathin gate oxide bulk and SOI MOSFETs,” IEEE Trans. Electron Devices, 50, 1675 (2003).
[5.6] J. Pretet, T. Matsumoto, T. Poiroux, S. Cristoloveanu, R. Gwoziecki, C. Raynaud, A. Roveda and H. Brut, “New mechanism of body charging in partially depleted SOI-MOSFETs with ultra-thin gate oxides.” in Proc. ESSDERC, 515 (2002).
[5.7] M. Casse, J. Pretet, S. Cristoloveanu, T. Poiroux, C. Fenouillet-Beranger, F. Fmleux, C. Raynaud, and G. Reimbold, “Gate-induced floating-body effect in fully depleted SOI MOSFETs with tunneling gate oxide and back-gate biasing,” Solid State Electron., 48, 1243 (2004).
[5.8] H. Lin, J. Lin, and R. C. Chang, “Inversion-layer induced body current in SOI MOSFETs with body contacts” IEEE Electron Device Lett., 24, 111 (2003).
[5.9] W. C. Lo, S. J. Chang, C. Y. Chang, and T. S. Chao, “Impacts of gate structure on dynamic threshold SOI nMOSFETs,” IEEE Electron Device Lett., 23, 497 (2002).
[5.10] C. Y. Chang, S. J. Chang, T. S. Chao, S. D. Wu, and T. Y. Huang, “Reduced reverse narrow channel effect in thin SOI nMOSFETs,” IEEE Electron Device Lett., 21, 460 (2000).
[5.11] R. Mishra, D. E. Ioannou, S. Mitra, and R. Gauthier, “Effect of floating-body and stress bias on NBTI and HCI on 65-nm SOI pMOSFETs,” IEEE Electron Device Lett., 29, 262 (2008).
[5.12] G. Nayereh, A. K. Ali, and A. S. Ebrahim, “Modeling of floating-body effect on negative bias temperature instability degradation of double-gate MOSFETs,” Proceedings of ICEE, 356, 1 (2010).
[5.13] K. F. Schuegraf and C. Hu, “Hole injection SiO2 breakdown model for very low voltage lifetime extrapolation,” IEEE Trans. Electron Devices, 41, 761 (1994).
[5.14] T. Mizuno, N. Sugiyama, A. Kurobe and S. Takagi, “Electron and hole mobility enhancement in strained-Si MOSFET's on SiGe-on-insulator substrates fabricated by SIMOX technology”, IEEE Trans. Electron Devices, 48, 1612 (2001).
[5.15] B. E. Deal, M. Sklar, A. S. Grove and E. H. Snow, “Characteristics of the surface-state charge (Qss) of thermally oxidized silicon,” J. Electrochem. Soc., 114, 266 (1967).
[5.16] R. J. Strain, A. Goetzberger and A. D. Lopez, “On the formation of surface states during stress aging of thermal Si-SiO2 interface,” J. Electrochem. Soc., 120, 90 (1973).
[5.17] P. Chaparala, J. Shibley and P. Lim, “Threshold voltage drift in p-MOSFETs due to NBTI and HCI,” in Proc. Int. Reliability Workshop, pp. 95-97 (2000).
[5.18] K. Uwasawa, T. Yamamoto and T. Mogami, “A new degradation mode of scaled p+ poly-silicon gate p-MOSFETs induced by bias temperature instability,” in IEDM Tech. Dig., pp. 871-874 (1995).
[5.19] S. Ogawa and N. Shiono, “ Generalized diffusion-reaction model for the low-field charge build up instability at the Si-SiO2 interface,” Phys. Rev. B, 51, 4218 (1995).
[5.20] K. Uwasawa, T. Yamamoto and T. Mogami, “ Bias temperature instability in scaled p+ poly-silicon gate p-MOSFETs,” IEEE Trans. Electron Devices, 46, 921 (1999).
[5.21] Y. Mitani, M. Nagamine, H. Sstake and A. Toriumi, “NBTI mechanism in ultra-thin gate dielectric-nitrogen-originated mechanism in SiON,” in IEDM Tech. Dig., pp. 509-512 (2002).
[5.22] D. K. Schroder and J. A. Badcock, “Negative bias temperature instability: Road to cross in deep submicro silicon semiconductor manufacturing,” J. Appl. Phys., 94, 1 (2003).
[5.23] S. Mahapatra, P. Bharat Kumar and M. A. Alam, “ A new observation of enhanced bias temperature instability in thin gate oxide p-MOSFETs,” in IEDM Tech. Dig., pp. 337-341 (2003).
[5.24] N. Kimizuka, T. Yamamoto, T. Mogami, K. Yamaguchi, K. Imai and T. Horiuchi, “the impact of bias temperature instability for direct tunneling ultra-thin gate oxide on MOSFET scaling,” in VLSI Tech. Symp., pp. 73-74 (1999).
[5.25] Masayuki Terai, Koji Watanabe and Shinji Fujieda, “Effect of nitrogen profile and fluorine incorporation on negative-bias temperature instability of ultrathin plasma nitrided SiON MOSFETs,” IEEE Trans. Electron Devices, 54, 1658 (2007).
[5.26] L. Tsetseris, X. J. Zhou, D. M. Fleetwood, R. D. Schrimpf and S. T. Pantelides, “Dual role of fluorine at Si-SiO2 interface,” Appl. Phys. Lett., 85, 4950 (2004).
[5.27] W. C. Lee and C. Hu, “Modeling CMOS tunneling currents through ultrathin gate oxide due to conduction- and valence-band electron and hole tunneling,” IEEE Trans. Electron Devices, 48, 1366 (2001)
[5.28] J. W. Yang, J. G. Fossum, G. O. Workman, C. L. Huang, “A physical model for gate-to-body tunneling current and its effects on floating-body PD/SOI CMOS devices and circuits,” Solid-State Electron., 48, 259 (2004).
[5.29] N. Kimizuka, K. Yamaguchi, K. Imai, T. Iizuka, C. T. Liu, R. C. Keller, and T. Horiuchi, “NBTI enhancement by nitrogen incorporation into ultrathin gate oxide for 0.10-μm gate CMOS generation,” in VLSI Symp.Tech. Dig., pp. 92–93 (2006).
[5.30] Y. Mitani, M. Nagamine, H. Satake, and A. Toriumi, “NBTI mechanism in ultra-thin gate dielectric–nitrogen-originated mechanism in SiON,” in IEDM Tech. Dig., pp. 509–512 (2002).
[5.31] X. Yang, J. Lim, G. Sun, K. Wu, T. Nishida, and S. E. Thompson, “Strain-induced changes in the gate tunneling currents in p-channel metal–oxide–semiconductor field-effect transistors,” Appl. Phys. Lett., 88, 052108 (2006).
[5.32] R. B. FAIR, “The effect of strain-induced bandgap narrowing on high concentration phosphorus diffusion in silicon,” J. Appl. Phys., 50, 860 (1979).
[5.33] T. Irisawa, T. Numata, N. Sugiyama, S. Takagi, “On the origin of increase in substrate current and impact ionization efficiency in strained Si n- and p- MOSFET,” IEEE Trans Electron Dev., 52, 993 (2005).
[5.34] Y. J. Kuo, T. C. Chang, P.H. Yeh, S. C. Chen, C. H. Dai, C. H. Chao, T.F. Young, Osbert Cheng, and C.T. Huang, “Substrate current enhancement in 65 nm metal-oxide-silicon field-effect transistor under external mechanical stress,” Thin Solid Films, 517, 1715 (2009).
References
[6.1] S. H. Lo, D. A. Buchanan, Y. Taur, W. Wang, “Quantum-mechanical modeling of electron tunneling current from the inversion layer of ultra-thin-oxide nMOSFET's” IEEE Electron Device Letter, 18, 209 (1997)
[6.2] D. J. DiMaria and J. H. Stathis, “Ultimate limit for defect generation in ultra-thin silicon dioxide” Applied Physics Letter, 71, 3230 (1997)
[6.3] D. A. Buchanan, “Scaling the Gate Dielectric: Materials, Integration, and Realibility”, IBM J. Res. Dev., 43, 245 (1999).
[6.4] G. D. Wilk, R. M. Wallace, and J. M. Anthony, “High-k gate dielectrics: Current status and materials properties considerations” Journal Applied Physics, 89, 5243, (2001)
[6.5] M. L. Green, E. P. Gusev, R. Degraeve, and E. L. Garfunkel, “Ultrathin (<4 nm) SiO2 and Si–O–N gate dielectric layers for silicon microelectronics: Understanding the processing, structure, and physical and electrical limits”, Journal applied Physics, 90, 2057 (2001)
[6.6] International Technology Roadmap for Semiconductors (Semiconductor Industry Association, San Jose, CA, 2001).
[6.7] International Technology Roadmap for Semiconductors (ITRS), 2004.
[6.8] Y. Kim, G. Gebara, M. Freiler, J. Barnett, D. Riley, J. Chen, K. Torres, J. E. Lim, B. Foran, F. Shaapur, A. Agarwal, P. Lysaght, G. A. Brown, C. Young, S. Borthakur, H. J. Li, B. Nguyen, P. Zeitzoff, G. Bersuker, D. Derro, R. Bergmann, R. W. Murto, Alex Hou, H. R. Huff, E. Shero, C. Pomarede, M. Givens, M. Mazanec, and C. Werkhoven, ‘Conventional n-channel MOSFET devices using single layer HfO2 and ZrO2 as high-k gate dielectrics with polysilicon gate electrode”, in IEDM Tech. Dig., 455 (2001).
[6.9] C. Hobbs, H. Tseng, K. Reid, B. Taylor, L. Dip, L.Hebert, R. Garcia, R. Hegde, J. Grant, D. Gilmer, A. Franke, V. Dhandapani, M. Azrak, L. Prabhu, R. Rai, S. Bagchi, J. Conner, S. Backer, F. Dumbuya, B. Nguyen, and P. Tobin, “80 nm Poly-Si Gate CMOS with WOz Gate Dielectric”, in IEDM Tech. Dig., 651 (2001).
[6.10] M. Casse, L. Thevenod, B. Guillaumot, L. Tosti, F. Martin, J. Mitard, O. Weber, F. Andrieu, T. Ernst, G. Reimbold, T. Billon, M. Mouis, and F. Boulanger, “Carrier Transport in HfO2Metal Gate MOSFETs Physical Insight Into Critical Parameters”, IEEE Trans. Electron Devices, 53, 759 (2006).
[6.11] J. Robertson, "High dielectric constant gate oxides for metal oxide Si transistors", Rep. Prog. Phys., 69, 327 (2006).
[6.12] J. Robertson, O. Sharia and A. A. Demkov, “Fermi level pinning by defects in HfO2-metal gate stacks,” Appl. Phys. Lett., 91, 132912 (2007).
[6.13] K. Akiyama, W. Wang, W. Mizubayashi, M. Ikeda, H. Ota, T. Nabatame and A. oriumi, “VFB roll-off in HfO2 gate stack after high temperature annealing process – a crucial role of out-diffused oxygen from HfO2 to Si,” in Symp. VLSI Tech. Dig., pp. 72-73 (2007).
[6.14] Alessandro Callegari, Evgeni Gusev and Massimo V. Fischetti, “Charge trapping in high-k gate dielectric stacks,” in IEDM Tech. Dig., pp. 517-520 (2002).
[6.15] S. Zafar, A. Kumar, E. Gusev, and E. Cartier, “Threshold voltage instabilities in high-k gate dielectric stacks,” IEEE Trans. Device Mater. Rel., 5, 45 (2005).
[6.16] C. Hobbs, L. Fonseca, V. Dhandapani and S. Samavedam et al., “Fermi level pinning at the polySi/metal oxide interface,” in Symp. VLSI Tech. Dig., pp. 9-10 (2003).
[6.17] Y. Akasaka, et al., “Modified oxygen vacancy induced Fermi level pinning model extendable to p-metal pinning,” J. J. Appl. Phys., 45, L1289 (2006).
[6.18] S. C. Song, et al., “Mechanism of Vfb roll-off with high work function metal gate and low temperature oxygen incorporation to achieve PMOS band edge work function,” in IEDM Tech. Dig., pp. 337-340 (2007).
[6.19] K. Kita and A. Toriumi, “Intrinsic origin of electric dipoles formed at high-k/SiO2 interface,” in IEDM Tech. Dig., pp. 1-4 (2008).
[6.20] S. Saito, D. Hisamoto, S. Kimura and M. Hiratani, “Unified mobility model for high-k gate stacks,” in IEDM Tech. Dig., pp. 797-800 (2003).
[6.21] M.A. Negara, K. Cherkaoui, P. K. Hurley, C. D. Young, P. Majhi, W. Tsai, D. Bauza and G. Ghibaudo, “Analysis of electron mobility in HfO2/TiN gate MOSFETs: the influence of HfO2 thickness, temperature, and oxide charge,” J. Appl. Phys., 105, 024510 (2009).
[6.22] M. V. Fischetti, D. A. Neumayer and E. A. Cartier, “Effective electron mobility in Si inversion layers in metal-oxide-semiconductor systems with a high-k insulator: the role of remote phonon scattering,” J. Appl. Phys., 90, 4587 (2001).
[6.23] Hiroyuki Ota, et al., “Intrinsic origin of electron mobility reduction in high-k MOSFETs-from remote phonon to bottom interface dipole scattering,” in IEDM Tech. Dig., pp. 65-68 (2007).
[6.24] Kosuke Tatsumura, et al., “Intrinsic correlation between mobility reduction and Vt shift dipole modulation in HfSiON/SiO2 stack by La or AL addition,” in IEDM ech. Dig., pp. 1-4 (2008).
[6.25] S. Datta, et al., “high mobility Si/SiGe strained cahnnel MOS transistors with HfO2/TiN gate stack,” in IEDM tech. Dig., pp. 653-656 (2003).
[6.26] R. Chau, S. Datta, M. Doczy, B. Doyle, J. Kavalieros and M. Metz,“High-k/metal-gate stack and its MOSFET characteristics,” IEEE Electron Device Lett., 25, 408 (2004).
[6.27] P. D. Kirsch , M. A. Quevedo , G. Pant , S. Krishnan , S. C. Song , H. J. Li , J. J. Peterson , B. H. Lee , R. W. Wallace , M. Kim and B. H. Gnade, “Relationship of HfO2 material properties and transistor performance,” Proc. Int. Symposium. On VLSI Technology, Systems, and Applications, pp. 113-114 (2006).
[6.28] Z. Luo , N. Rovedo , S. Ong , B. Phoong , M. Eller , H. Utomo , C. Ryou , H. Wang , R. Stierstorfer , L. Clevenger , S. Kim , J. Toomey , D. Sciacca , J. Li , W. Wille , L. Zhao , L. Teo , T. Dyer , S. Fang , J. Yan , O. Kwon , O. Kwon , D. Park , J. Holt , J. Han , V. Chan , J. Yuan , T. Kebede , H. Lee , S. Kim , S. Lee , A. Vayshenker , Z. Yang , C. Tian , H. Ng , H. Shang , M. Hierlemann , J. Ku , J. Sudijono and M. Ieong, “High performance transistors featured in an aggressively scaled 45 nm bulk CMOS technology,” in VLSI Symp. Tech. Dig., pp.16 (2007).
[6.29] H. T. Huang , Y. C. Liu , Y. T. Hou , R. C.-J. Chen , C. H. Lee , Y. S. Chao , P. F. Hsu , C. L. Chen , W. H. Guo , W. C. Yang , T. H. Perng , J. J. Shen , Y. Yasuda , K. Goto , C. C. Chen , K. T. Huang , H. Chuang , C. H. Diaz and M. S. Liang, “45nm High-k/Metal-Gate CMOS Technology for GPU/NPU Applications with Highest PFET Performance,” in IEDM Tech. Dig., pp.285 (2007).
[6.30] C. S. Park , G. Bersuker , S. C. Song , P. Kirsch , B. H. Lee and R. Jammy, “High-k/metal gate materials and procresses for 32nm technology,” FebTech 36th edition, pp.42 (2007).
[6.31] J. Westlinder, G. Sjoblom and J. Olsson, “Variable work function in MOS capacitance utilizing nitrogen-controlled TiNx gate electrode,” Microelectronic Engineering, 75, 389 (2004).
[6.32] X. Garros, M. Casse, M. Rafik, C. Fenouillet-Beranger, G. Reimbold, F. Martin, C. Wiemer and F. Boulanger, ”Process dependence of BTI reliability in advanced HK MG stacks,” Microelectronics Reliability, 49, 982 (2009).
[6.33] S. Takagi, A. Toriumi, M. Iwase, H. Tango, “On the universality of inversion layer mobility in Si MOSFET: part 1- Effects of substrate impurity concentration,” IEEE Trans Electron Devices, 41, 2357 (1994).
[6.34] Olivier Weber, Mikael Casse, Laurent Thevenod, Fr&#233;d&#233;rique Ducroquet, Thomas Ernst and Simon Deleonibus, “On the mobility in high-k/metal gate MOSFETs: Evaluation of the high-κ phonon scattering impact”, Solid-State Electronics, 50, 626 (2006).
[6.35] G. Ribes, J.Mitard, M. Denais, S. Bruyere, F.Monsieur, C. Parthasarathy, E. Vincent, and G. Ghibaudo, “Review on high-k dielectrics reliability issues,” IEEE Trans. Device Mater. Rel., 5, 5 (2005).
[6.36] A. Kerber, E. Cartier, L. Pantisano, R. Degraeve, T. Kauerauf, Y. Kim, A. Hou, G. GroesenekenE, H. E. Maes, and U. Schwalke, “Origin of the Threshold Voltage Instability in SiO2/HfO2 Dual Layer Gate Dielectrics”, IEEE Electron Device Letters, 24, 87 (2003).
[6.37] M.B. Zahid, R. Degraeve, M. Cho, L. Pantisano, D.R. Aguado, J. Van Houdt, G. Groeseneken, M. Jurczak, “Deffect profiling in the SiO2/ Al2O3 interface using Variable Tcharge-Tdischarge Amplitude Charge Pumping (VT2ACP)”, in IEEE International Reliability Physics Symposium, pp. 21-25 (2009).
[6.38] G. Van den Bosch, G. Groeseneken, and H. E. Maes, “On the geometric component of charge-pumping current in MOSFETs,” IEEE Electron Device Lett., 14, 107 (1993).


References
[7.1] G. D. Wilk, R. M. Wallace, and J. M. Anthony, “High-κ gate dielectrics: Current status and materials properties considerations,” J. Appl. Phys., 89, 5243, (2001).
[7.2] M. L. Green, E. P. Gusev, R. Degraeve, and E. Garfunkel, “Ultrathin (<4 nm) SiO2 and Si-O-N Gate Dielectrics Layers for Silicon Microelectronics: Understanding the Processing, Structure and Physical and Electrical Limits,” J. Appl. Phys., 90, 2057 (2001).
[7.3] Y. Kim, G. Gebara, M. Freiler, J. Barnett, D. Riley, J. Chen, K. Torres, J. E. Lim, B. Foran, F. Shaapur, A. Agarwal, P. Lysaght, G. A. Brown, C. Young, S. Borthakur, H. J. Li, B. Nguyen, P. Zeitzoff, G. Bersuker, D. Derro, R. Bergmann, R. W. Murto, Alex Hou, H. R. Huff, E. Shero, C. Pomarede, M. Givens, M. Mazanec, and C. Werkhoven, “Conventional n-channel MOSFET devices using single layer HfO2 and ZrO2 as high-k gate dielectrics with polysilicon gate electrode”, in IEDM Tech. Dig., pp. 455 (2001).
[7.4] C. Hobbs, H. Tseng, K. Reid, B. Taylor, L. Dip, L.Hebert, R. Garcia, R. Hegde, J. Grant, D. Gilmer, A. Franke, V. Dhandapani, M. Azrak, L. Prabhu, R. Rai, S. Bagchi, J. Conner, S. Backer, F. Dumbuya, B. Nguyen, and P. Tobin, “80 nm Poly-Si Gate CMOS with WOz Gate Dielectric” in IEDM Tech. Dig., pp. 651(2001).
[7.5] M. Fischetti, “Scaling MOSFETs to the limit: A physicist’s perspective,” J. Comput. Electron., 2, 73 (2003).
[7.6] G. Bersuker, P. Zeitzoff, G. Brown, and H. Huff, “Dielectrics for future transistors,” Mater. Today, 7, 26 (2004).
[7.7] R.M.Wallace and G. D.Wilk, “Materials issues for high-k gate dielectric selection and integration,” in High Dielectric Constant Materials—VLSI MOSFET Applications, H. R. Huff and D. C. Gilmer, Eds. Berlin, Germany: Springer-Verlag, ch. 9, pp. 253–286 (2005).
[7.8] M. Casse, L. Thevenod, B. Guillaumot, L. Tosti, F. Martin, J. Mitard, O. Weber, F. Andrieu, T. Ernst, G. Reimbold, T. Billon, M. Mouis, and F. Boulanger, “Carrier Transport in HfO2/Metal Gate MOSFETs: Physical Insight Into Critical Parameters”, IEEE Trans. Electron Devices, 53, 759 (2006).
[7.9] G. Ribes, J. Mitard, M. Denais, S. Bruyere, F. Monsieur, C. Parthasarathy, E. Vincent, and G. Ghibaudo, “Review on High-k Dielectrics Reliability Issues”, IEEE Trans. Device And Materials Reliability, 5, 5(2005).
[7.10] S. Zafar, A. Callegari, E. Gusev, and M. V. Fischetti, “Charge trapping related threshold voltage instabilities in high permittivity gate dielectric stacks”, J. Appl. Phys., 93, 9298(2003).
[7.11] E. P. Gusev, D. A. Buchanan, E. Cartier, A. Kumar, D. DiMaria, S. Guha, A. Callegari, S. Zafar, P. C. Jamison, D. A. Neumayer, M. Copel, M. A. Gribelyuk, H. Okorn-Schmidt, C. D’Emic, P. Kozlowski, K. Chan, N. Bojarczuk, L-A. Ragnarsson, R. Ronsheim, K. Rim, R. J. Fleming, A. Mocuta, and A. Ajmera, “Ultrathin high-κ gate stacks for advanced CMOS devices,” in International Electron Devices Meeting (IEDM) Technical Digest, pp. 451-454 (2001).
[7.12] H. S. Kim, S. A. Campbell and D. C. Gilmer, “Charge trapping and degradation in high-permittivity TiO2 dielectric films,” IEEE Electron Device Lett., 18, 4610 (1997).
[7.13] M. Houssa, V. V. Afanas’ev, A. Stesmans, and M. M. Heyns, “Polarity dependence of defect generation in ultrathin SiO2/ZrO2 gate dielectric stacks”, Appl. Phys.Lett., 79, 3134 (2001).
[7.14] W. J. Zhu, T. P. Ma, S. Zafar, and T. Tamagawa, “Charge Trapping in Ultra-thin Hafnium Oxide”, IEEE Electron Device Lett., 23, 597 (2002).
[7.15] A. Kerber, E. Cartier, L. Pantisano, R. Degraeve, T. Kauerauf, Y. Kim, A. Hou, G. Groeseneken, H. E. Maes, and U. Schwalke, “Origin of the Threshold Voltage Instability in SiO2/HfO2 Dual Layer Gate Dielectrics”, IEEE Electron Device Lett., 24, 87 (2003).
[7.16] G. Bersuker, J. H. Sim, C. D. Young, R. Choi, P. M. Zeitzoff, G. A. Brown, B. H. Lee, R. W. Murto, “Effect of Pre-existing defects on reliability Assessment of High-K gate dielectrics”, Microelectronics Reliability, 44, 1509 (2004).
[7.17] E. P. Gusev, C. D Emic, S. Zafar, A. Kumar, “Charge trapping and detrapping in HfO2 high-k gate stacks”, Microelectronic Engineering, 72, 273 (2004).
[7.18] H. R. Harris, R. Choi, J. H. Sim, C. D. Young, P. Majhi, B. H. Lee, and G. Bersuker, “Electrical Observation of Deep Traps in High-k/Metal Gate Stack Transistors”, IEEE Electron Device Lett., 26, 839 (2005).
[7.19] W. Abadeer, and W. Ellis, “Behavior of NBTI under AC Dynamic Circuit Conductions”, Reliability Physics Symposium Proceedings, 17 (2003).
[7.20] Vijay Reddy, Anand T. Krishnan, Andrew Marshall, John Rodriguez, Sreedhar Natarajan, Tim Rost, and SrikanthKrishnan, “Impact of Negative Bias Temperature Instability on Digital Circuit Reliability,” Proceedings of the Inter. ReL Phys. Symp., pp. 248-254 (2002).
[7.21] R. Thewes, R. Brederlow, C. Schhmder, P. Wieezorek, B. Ankele, A. Hesener, J. Holz, S. Kessel, W. Weber, “Evaluation of MOSFET Reliability in Analog Application,” European Solid- State Device Research Conf. (2001).
[7.22] B. Zhu, J. S. Snehle, Y. Chen, and J. B. Bernstein, “Negative Bias Temperature Instability of Deep Sub- Micron p-MOSFETs Under Pulsed Bias Stress”, Proceedings of the Integrated Reliability Workshop (to be published) (2002).
[7.23] L. Pantisano, E. Cartier, A. Kerber, R. Degraeve, M. Lorenzini, M. Rosmeulen, G. Groeseneken, H.E. Maes, IMEC, Leuven, Belgium, “Dynamics of Threshold Voltage Instability in Stacked High-k Dielectrics: Role of the Interfacial Oxide”, Symp. VLSI Tech. Dig., pp.163 (2002).
[7.24] G. Bersuker, J. H. Sim, C. S. Park, C. D. Young, S. V. Nadkarni, R. Choi, and B. H. Lee, “Mechanism of Electron Trapping and Characteristics of Traps in HfO2 Gate Stacks” IEEE Trans. Device And Materials Reliability, 7, 138 (2007).
[7.25] J. L. Gavartin, A. L. Shluger, A. S. Foster, and G. I. Bersuker, “The role of nitrogen-related defects in high-k dielectric oxides: Density-functional studies,” J. Appl. Phys., 97, 053704 (2005).

References
[8.1] M. L. Green, E. P. Gusev, R. Degraeve, and E. Garfunkel, "Ultrathin (<4 nm) SiO2 and Si-O-N Gate Dielectrics Layers for Silicon Microelectronics: Understanding the Processing, Structure and Physical and Electrical Limits," J. Appl. Phys., 90, 2057 (2001).
[8.2] S. H. Lo, D. A. Buchanan, Y. Taur, W. Wang, “Quantum-mechanical modeling of electron tunneling current from the inversion layer of ultra-thin-oxide nMOSFET's” Electron Device Letter, 18, 209 (1997)
[8.3] D. J. DiMaria and J. H. Stathis, “Ultimate limit for defect generation in ultra-thin silicon dioxide” Applied Physics Letter, 71, 3230 (1997)
[8.4] D. A. Buchanan, “Scaling the Gate Dielectric: Materials, Integration, and Realibility”, IBM J. Res. Dev. 43, 245, (1999).
[8.5] G. D. Wilk, R. M. Wallace, and J. M. Anthony, “High-k gate dielectrics: Current status and materials properties considerations” Journal applied Physics, 89, 5243, (2001)
[8.6] G. Groeseneken, L. Pantisano, L.A. Ragnarsson, R. Degraeve, M. Houssa, T. Kauerauf, P. Roussel, S. De Gendt, and M. Heyns, “Achievements and challenges for the electrical performance of MOSFET’s with high-κ gate dielectrics,” in Proc. 11th Int. Symp. Phys. and Failure Anal. IC, 147 (2004).
[8.7] Zhu, W.J., Han, J.P. and Ma, T.P., “Mobility measurement and degradation mechanisms of MOSFETs made with ultrathin high-k dielectrics”, IEEE Trans. Electron Dev. 51, 98 (2004).
[8.8] G. Ribes, J.Mitard, M. Denais, S. Bruyere, F.Monsieur, C. Parthasarathy, E. Vincent, and G. Ghibaudo, “Review on high-k dielectrics reliability, issues,” IEEE Trans. Device Mater. Rel., 5, 5 (2005).
[8.9] M. Casse, L. Thevenod, B. Guillaumot, L. Tosti, F. Martin, J. Mitard, O. Weber, F. Andrieu, T. Ernst, G. Reimbold, T. Billon, M. Mouis, and F. Boulanger, “Carrier Transport in HfO2/Metal Gate MOSFETs: Physical Insight Into Critical Parameters”, IEEE Trans. Electron Devices, 53, 759 (2006).
[8.10] Hyung-Suk Jung, Jeong Hwan Kim, Joohwi Lee, Sang Young Lee, Un Ki Kim, Cheol Seong Hwang, Jung-Min Park, Weon-Hong Kim, Min-Woo Song, and Nae-In Lee, “Bias Temperature Instability Characteristics of n- and p-Type Field Effect Transistors Using HfO2 Gate Dielectrics and Metal Gate”, J. Electrochem. Soc., 157, H355-H360 (2010).
[8.11] S. Zafar, A. Kumar, E. Gusev, and E. Cartier, “Threshold Voltage Instabilities in High-k Gate Dielectric Stacks”, IEEE Trans. Device Mater. Rel., 5, 45 (2005).
[8.12] B.H. Lee, R. Choi, J.H. Sim, S.A. Krishnan, J.J. Peterson, G.A. Brown, and G. Bersuker, “Validity of constant voltage stress based reliability assessment of high-κ devices”, IEEE Trans. Dev. Mater. Rel., 5, 20 (2005).
[8.13] J.H. Stathis, S. Zafar, “The negative bias temperature instability in MOS devices: A review”, Microelectronics Reliability, 46, 270 (2006).
References
[9.1] G. D. Wilk, R. M. Wallace, and J. M. Anthony, “High-κ gate dielectrics: Current status and materials properties considerations,” J. Appl. Phys., 89, 5243 (2001).
[9.2] M. L. Green, E. P. Gusev, R. Degraeve, and E. Garfunkel, “Ultrathin (<4 nm) SiO2 and Si-O-N Gate Dielectrics Layers for Silicon Microelectronics: Understanding the Processing, Structure and Physical and Electrical Limits,” J. Appl. Phys., 90, 2057 (2001).
[9.3] Y. Kim, G. Gebara, M. Freiler, J. Barnett, D. Riley, J. Chen, K. Torres, J. E. Lim, B. Foran, F. Shaapur, A. Agarwal, P. Lysaght, G. A. Brown, C. Young, S. Borthakur, H. J. Li, B. Nguyen, P. Zeitzoff, G. Bersuker, D. Derro, R. Bergmann, R. W. Murto, Alex Hou, H. R. Huff, E. Shero, C. Pomarede, M. Givens, M. Mazanec, and C. Werkhoven, “Conventional n-channel MOSFET device using single layer HfO2 and ZrO2 as high-k gate dielectrics with polysilicon gate electrode,” in IEDM Tech. Dig., pp. 455 (2001).
[9.4] C. Hobbs, H. Tseng, K. Reid, B. Taylor, L. Dip, L.Hebert, R. Garcia, R. Hegde, J. Grant, D. Gilmer, A. Franke, V. Dhandapani, M. Azrak, L. Prabhu, R. Rai, S. Bagchi, J. Conner, S. Backer, F. Dumbuya, B. Nguyen, and P. Tobin, “80 nm Poly-Si Gate CMOS with HfO2 Gate Dielectric,” in IEDM Tech. Dig., pp. 651 (2001).
[9.5] R. M. Wallace and G. D.Wilk, “Materials issues for high-k gate dielectric selection and integration,” in High Dielectric Constant Materials—VLSI MOSFET Applications, H. R. Huff and D. C. Gilmer, Eds. Berlin, Germany: Springer-Verlag, ch. 9, pp. 253–286 (2005).
[9.6] R. Singanamalla, H.Y. Yu, G. Pourtois, I. Ferain, K.G. Anil, S. Kubicek, T.Y. Hoffmann, M. Jurczak, S. Biesemans, K. De Meyer, “On the impact of TiN film thickness variations on the effective work function of poly-Si/TiN/SiO2 and poly-Si/TiN/HfSiON gate stacks”, IEEE Trans. Electron Devices, 27, 332 (2006).
[9.7] G. Ribes, J.Mitard, M. Denais, S. Bruyere, F.Monsieur, C. Parthasarathy, E. Vincent, and G. Ghibaudo, “Review on high-k dielectrics reliability, issues,” IEEE Trans. Device Mater. Rel., 5, 5 (2005).
[9.8] M. Casse, L. Thevenod, B. Guillaumot, L. Tosti, F. Martin, J. Mitard, O. Weber, F. Andrieu, T. Ernst, G. Reimbold, T. Billon, M. Mouis, and F. Boulanger, “Carrier Transport in HfO2/Metal Gate MOSFETs: Physical Insight Into Critical Parameters”, IEEE Trans. Electron Devices, 53, 759 (2006).
[9.9] S. Zafar, A. Kumar, E. Gusev, and E. Cartier, “Threshold Voltage Instabilities in High-k Gate Dielectric Stacks”, IEEE Trans. Device Mater. Rel., 5, 45 (2005).
[9.10] Hyung-Suk Jung, Jeong Hwan Kim, Joohwi Lee, Sang Young Lee, Un Ki Kim, Cheol Seong Hwang, Jung-Min Park, Weon-Hong Kim, Min-Woo Song, and Nae-In Lee, “Bias Temperature Instability Characteristics of n- and p-Type Field Effect Transistors Using HfO2 Gate Dielectrics and Metal Gate”, J. Electrochem. Soc., 157, H355 (2010).
[9.11] J. C. Liao, Yean-Kuen Fang, Y. T. Hou, W. H. Tseng, P. F. Hsu, K. C. Lin, K. T. Huang, T. L. Lee, and M. S. Liang, “Investigation of Bulk Traps Enhanced Gate-Induced Leakage Current in Hf-Based MOSFETs”, IEEE Trans. Electron Device Letters, 29, 509 (2008).
[9.12] M. Gurfinkel, J.S. Suehle, J.B. Bernstein, Shapira, Yoram, “Enhanced Gate Induced Drain Leakage Current in HfO2 MOSFETs due to Remote Interface Trap-Assisted Tunneling”, Microelectronic Engineering, 86, 2157 (2009).
[9.13] E. Amat, T. Kauerauf, R. Degraeve, A. Dee Keersgieter, R. Rodr&#237;guez, M. Nafr&#237;a, X. Aymerich, and G. Groeseneken, “Channel Hot-Carrier degradation under static stress in short channel transistors with high-k/metal gate stacks”, in Proc. 9th Int. Conf. Ultimate Integr. Silicon, pp.103 (2008).
[9.14] S. Cimino, L. Pantisano, M. Aoulaiche, R. Degraeve, D. H. Kwak, F. Crupi, G. Groeseneken, and A. Paccagnella, “Hot Carrier Degradation n-Channel HfSiON MOSFETS: Electron the Device Performance and Lifetime”, in Proc. IEEE Int. Rel. Phys. Symp., pp.275 (2005).
[9.15] M. Takayanagi, T. Watanabe, R. Iijima, K. Ishimaru, and Y. Tsunashima, “Investigation of Hot Carrier Effects in n-MISFETs with HfSiON Gate Dielectric” , in Proc. IEEE Int. Rel. Phys. Symp., pp.13 (2004).
[9.16] I. Crupi, “Hot carrier effects in n-MOSFETs with SiO2/HfO2/HfSiO gate stack and TaN metal gate”, Microelectronic Engineering, 86, 1 (2009).
[9.17] E. Amat, R. Rodr&#237;guez, M. Nafr&#237;a and X. Aymerich, “Channel hot-carrier degradation under AC stress in short channel nMOS devices with high-k gate stacks”, Microelectronic Engineering, 86, 1908 (2009).
[9.18] Esteve Amat, Thomas Kauerauf, Robin Degraeve, Rosana Rodr&#237;guez, Montserrat Nafr&#237;a, Xavier Aymerich, and Guido Groeseneken, “Gate Voltage Influence on the Channel Hot-Carrier Degradation of High-k-Based Devices”, IEEE transactions on device and materials reliability, 11, 1530 (2011).
[9.19] X. H. Ma, Y. R. Cao, H. X. Gao, H. F. Chen, and Y. Hao, “Behaviors of gate induced drain leakage stress in lightly doped drain n-channel metal-oxide-semiconductor field-effect transistors,” Appl. Phys. Lett., 95, 152107 (2009).
[9.20] J. L. Gavartin, A. L. Shluger, A. S. Foster, and G. I. Bersuker, “The role of nitrogen-related defects in high-k dielectric oxides: Density-functional studies”, J. Appl. Phys., 97, 053704 (2005).
電子全文 Fulltext
本電子全文僅授權使用者為學術研究之目的,進行個人非營利性質之檢索、閱讀、列印。請遵守中華民國著作權法之相關規定,切勿任意重製、散佈、改作、轉貼、播送,以免觸法。
論文使用權限 Thesis access permission:校內外都一年後公開 withheld
開放時間 Available:
校內 Campus: 已公開 available
校外 Off-campus: 已公開 available


紙本論文 Printed copies
紙本論文的公開資訊在102學年度以後相對較為完整。如果需要查詢101學年度以前的紙本論文公開資訊,請聯繫圖資處紙本論文服務櫃台。如有不便之處敬請見諒。
開放時間 available 已公開 available

QR Code