Responsive image
博碩士論文 etd-0727100-013623 詳細資訊
Title page for etd-0727100-013623
論文名稱
Title
應用於2.0V嵌入式動態隨機存取記憶體之高性能電路研究
Study of High Performance Circuits for 2.0V Embedded Dynamic Random Access Memory
系所名稱
Department
畢業學年期
Year, semester
語文別
Language
學位類別
Degree
頁數
Number of pages
64
研究生
Author
指導教授
Advisor
召集委員
Convenor
口試委員
Advisory Committee
口試日期
Date of Exam
2000-07-21
繳交日期
Date of Submission
2000-07-27
關鍵字
Keywords
嵌入式動態隨機存取記憶體、字組線驅動器、降低時脈振幅驅動器、讀出放大器
wordline driver, reduced clock-swing driver, embedded dram, read bus amplifier
統計
Statistics
本論文已被瀏覽 5735 次,被下載 13030
The thesis/dissertation has been browsed 5735 times, has been downloaded 13030 times.
中文摘要
摘要
這篇論文中提出四個適用於嵌入式動態隨機存取記憶體的電路。首先,一個應用於字組線驅動器的高效率負電壓產生器被提出。此負電壓源產生器電路可在n-Well CMOS積體電路製程完成製作,並且有較高的輸出效率。在供應電壓源為2V時,輸出電壓可以達到-1.6V,即使供應電壓源下降至1.5V時,輸出電壓仍可達到-1.05V;相對的,傳統的負電壓產生器在2V電壓源下,輸出電壓只能達-0.67V。第二,一個適用於PMOS通道電晶體的快速字組線驅動器被提出。在2.0V供電電壓下,驅動512個記憶單元時,該字組線驅動器比傳統的字組線驅動器節省了26.8ns的時間,提高了79%的速度。第三,一個新的降低時脈振幅驅動器被提出。當供應電壓源為2.0V,操作頻率為100MHz,該驅動器配合降低時脈振幅正反器工作時,整體消耗功率比傳統的驅動器與降低時脈振幅正反器減少10%。由於上述低功率的優點,該驅動器比傳統驅動器更適用於嵌入式動態隨機存取記憶體。第四,一個修改的階層式讀出放大器電路被提出。此放大器是以新的感測放大器為基礎的階層式讀出放大器,可以以全振幅驅動輸出負載,比傳統N&PMOS交錯耦合放大器速度快了2.1ns,而且和傳統的N&PMOS交錯耦合放大器同樣具有不消耗閒置電流的優點.。上述所有電路整合在 1-Kbit embedded DRAM測試電路上供驗證。這個測試電路用2.0V供電電壓與16-Mbit的負載,結果顯示操作正確,且RAS存取時間也僅27.9ns。這顯示此四個電路技術可被應用於低電壓的高速嵌入式隨機存取記憶體。
Abstract
Abstract
Four high-performance circuits design techniques for embedded DRAM are proposed. First, a negative voltage generator having high efficiency is proposed to provide the negative voltage for the modified word line driver. The negative voltage generator circuits could be manufactured in n-Well CMOS process, and its operation achieve optimal output voltage. When 2.0-V supplied voltage is applied, the output voltage of -1.6-V is obtained. Even though, the supplied voltage is scaled down to 1.5-V, the output voltage can still achieve -1.05-V. In contrast, the output voltage of traditional one under 2.0-V supplied voltage is only -0.67-V. Second, a fast wordline driver suitable for PMOS pass transistor is proposed. The wordline driver improves the turned-on time by 26.8ns compared with the traditional one and raises the operating speed by 79%. Third, a new reduced clock-swing driver is proposed. Under 2.0-V supplied voltage and 100MHz operating frequency, the total power consumption of the new driver working with RCSFF is reduced by 10% than that of traditional one working with RCSFF. For the above advantage of low power, the new driver is thus more suitable for embedded DRAM applications. Fourth, a modified hierarchical read bus amplifier is proposed. The read bus amplifier is based on the new sense-amplifier. It could drive the output by full-swing voltage. It improves the sensing speed by 2.1ns. And it got the same advantage of no dc idling current as the traditional N&PMOS cross-coupled amplifier. In this thesis, finally, the performance of these circuits is also integrated and examined in an 1-Kbit embedded DRAM test circuit. The simulation RAS access time of 27.9ns is achieved under 2.0V supplied voltage and loading of 16-Mbit embedded DRAM. This indicated the above proposed circuits could be applied in the low voltage and high speed embedded DRAM.
目次 Table of Contents
目錄
第一章 緒論…………………1
第二章 嵌入式動態隨機存取記憶體基本架構………3
2.1記憶單元(Memory cell)………………3
2.2 位址解碼器……………………………8
2.3字組線驅動器………………………… 8
2.4 感測放大電路(Sense Amplifier)…11
2.5 電壓源產生器(Voltage generator)11
2.6測試電路………………………………12
第三章 應用於PMOS通道電晶體之快速字組線驅動器16
3.1傳統的字組線驅動器…………………16
3.2傳統的負電壓源產生器………………18
3.3 混合式負電壓產生器…………………20
3.4 修改的負電壓產生器………………25
3.5 修改的字組線驅動器………………28
3.6 模擬結果與討論……………………31
第四章 週邊電路及感測電路………………….…34
4.1新的降低時脈振幅驅動器…………………….34
4.2 修改的階層式讀出放大電路……44
第五章 模擬結果…………………………………52
第六章結論與展望………………………………59
參考文獻…………….…………………………61

參考文獻 References
Reference
[1] M. Aoki et al., "A 1.5-V DRAM for Battery-Based Applications", IEEE Journal of Solid-State Circuits, vol. 24, No. 5, pp.1206-1211, October 1989.
[2] S. Kuge et al., "SOI-DRAM Circuit Technologies for Low Power High Speed Multigiga Scale Memories", IEEE Journal of Solid-State Circuits, vol. 31, No.4, pp586-591, April 1996.
[3] T. Hamamoto et al., "An Efficient Charge Recycle and Transfer Pump Circuits for Low Operating Voltage DRAMs", Symposium on VLSI Circuits Digest of Technical Papers, pp. 110-111, 1996.
[4] T. Inaba et al., "A 205mV Bit-Line Swing Scheme for a 1V 4Gb DRAM", Symposium on VLSI Circuits Digest of Technical Papers, p.99-100, 1995.
[5] M. Hriguchi et al., "Dual-Operating Voltage Scheme for a Single 5-V 16M-bit DRAM", IEEE Journal of Solid-State Circuits, vol.23, No5, pp.1128-1133, October 1998.
[6] K. Inoue et al., "A 10-Mb 3-D Frame Buffer Memory with Z-Compare and Alpha- Blend Units", IEEE International Solid-State Circuits Conference Digest of Technical Papers, pp.302-303, February 1997.
[7] K. Ayukawa et al., "An Access-Sequence Control Scheme to Enhance Random Access Performance of Embedded DRAM's", IEEE Journal of Solid-State Circuits,vol. 33, no.5, May 1998.
[8] K. Schonemann, "A Modular Embedded DRAM Core Concept in 0.24μm Technology", Memory Technology, Design and Testing, pp18-23, 1998.
[9] P. W. Diodato et al., "A reusable embedded DRAM macrocell", Custom Integrated Circuits Conference, p337-340, 1997.
[10] N. When et al., "Embedded DRAM Architectural Trade-Offs", Design, Automation and Testing Europe, Proceedings, pp. 704-708, 1998.
[11] H. Ishiuchi et al., "Embedded DRAM Technologies", Electron Devices Meeting, Technical Digest, pp. 33-36, 1997.
[12] K. Herrmann et al., "A Single Chip Video Coding System with Embedded DRAM Frame Memory for Stand-Alone", ASIC conference, pp319-323, 1998.
[13] S. Crowder et al., "Integration of Trench DRAM into a High-Performance 0.18μm Logic Technology with Copper BEOL", Electron Devices Meeting, Technical Digest, pp1017-1020, 1998.
[14] S. S. Lyer et al., "Embedded DRAM technology: opportunities and challenges", IEEE Spectrum, Vol. 364, pp.56-64, April 1999.
[15] P. Gillingham et al., "A 768k Embedded DRAM for 1.244Gb/s ATM Switch in a 0.8μm Logic Process", IEEE International Solid-State Circuits Conference, Digest of Technical Papers, pp262-263, 1996.
[16] T. Tsang et al., "A Proven Embedded DRAM Compiler for Deep Submicron Logic Processes and System-on-a-chip ASIC designs", ASIC Conference, pp199-203, 1998.
[17] R.C. Foss et al., "Application of a High-Voltage Pumped Supply for Low-Power DRAM", Symposium on VLSI Circuits Digest of Technical Papers, pp. 106-107,1992.
[18] Y. Watanabe et al., "Offset Compensating Bit-Line Sensing Scheme for High Density DRAMs", Symposium on VLSI Circuits Digest of Technical Papers, pp. 116-117, 1992.
[19] T. Ooishi, et al., "A Well-Synchronized Sensing/Equalizing Method for Sub-1.0v Operating Advanced DRAMs", Symposium on VLSI Circuits Digest of Technical Papers, p81-82, 1993.
[20] I. Naritake et al., "A Crossing Charge Recycle Refresh Scheme with a Separated Driver Sense-Amplifier for Gb DRAMs", Symposium on VLSI Circuits Digest of Technical Papers, pp. 101-102, 1995.
[21] A. Fujiwara et al., "A 200MHz 16-Mbit Synchronous DRAM with Block Access Mode", Symposium on VLSI Circuits Digest of Technical Papers, pp.79-80, 1994.
[22] N. C. Lu et al., "Half-VDD Bit Line Sensing Scheme in CMOS DRAMs", IEEE Journal of Solid State Circuits, vol. 19, No.5, pp.451-454, August 1984.
[23] H. Kawamoto et al., " A 288K CMOS Pseudostatic RAM", IEEE Journal of Solid-State Circuits, vol. 19, No.5, pp.619-623, October 1984.
[24] A. Bellaouar et al., "Low-Power Digital VLSI Design", Kluwer Academic Publishers, pp.371, 1996.
[25] N. C. Lu et al., "Half-VDD Bit Line Sensing Scheme in CMOS DRAMs", IEEE Journal of Solid State Circuits, vol. 19, No.5, pp.451-454, August 1984.
[26] S. Saito et al., " A 1-Mbit CMOS DRAM with Fast Page Mode and Static Column Mode", IEEE Journal of Solid-State Circuits, vol. 20, No.5, pp.903-908, October 1985.
[27] Y. Nakagome et al., " An Experiment 1.5-V 64-Mb DRAM", IEEE Journal of Solid-State Circuits, vol. 26, No.4, pp465-471, April 1994.
[28] Y. Nakagome et al., "A 1.5V Circuit Technology fo 64Mb DRAMs", Symposium on VLSI Circuits Digest of Technical Papers, pp17-18, 1990.
[29] C. T. Huang et al., "A Programmable BIST Core for Embedded DRAM", IEEE Design & Test of Computers, vol. 16 1, pp.59-70, Jan.-March 1999.
[30] S. Miyano et al., "Universal Test Interface for embedded -DRAM testing", IEEE Design & Test of Computers, vol. 161, pp. 53-58, Jan.-March 1999.
[31] Y. Tsukikawa et al., "An Efficient Back-Bias Generator with Hybrid Pumping Circuit for 1.5-V DRAM's", IEEE Journal of Solid-State Circuits, vol. 29, No. 4, pp534-538, April 1994.
[32] Y. Tsukikawa et al, "An Efficient Back-Bias Generator with Hybrid Pumping Circuit for 1.5V DRAMs", Symposium on VLSI Circuits Digest of Technical Papers, pp.85-86, 1992.
[33] M. Ukita et al, "A Single Bitline Cross-Point Cell Activation(SCPA) Architecture for Ultra Low Power SRAMS", IEEE International Solid-State Circuits Conference, Digest of Technical Papers, pp.252-253, February 1994.
[34] J. Yuan et al., "New TSPC Latches and Flipflops Minimizing Delay and Power", Symposium on VLSI Circuits Digest of Technical Papers, pp.160-161, 1996.
[35] F. Klass et al., "A New Family of Semidynamic and Dynamic Flip-Flops with Embedded Logic for High -Performance Processors.", IEEE Journal of Solid-State Circuits, vol.34, No. 5, pp.712-716, May 1999.
[36] T. Sunaga et al., " A Full Bit Prefetch DRAM Sensing Circuit", IEEE Journal Solid-State Circuits, vol. 31, No.6, pp.767-772, June 1996.
[37] M. Aoki et al., " A 60ns CMOS DRAM with a Transposed Data-Line Structure", IEEE Journal of Solid-State Circuits, vol. 23, No.5, pp.1113-119, October 1988.
[38] M. AFGHAHI et al., "A Unified Single-Phase Clocking Scheme for VLSI Systems", IEEE Journal Solid-State Circuits, vol. 25, No.1, pp.225-232, February 1990.
[39] J. Yuan et al., "New Single-Clock CMOS Latches and Flipflops with Improved Speed and Power Savings", IEEE Journal Solid-State Circuits, vol. 32, No.1, pp.62-69, January 1997.
[40] Ching-Yuan Yang et al., "New Dynamic Flip-Flops for High-Speed Dual-Modulus Prescaler", IEEE Journal Solid-State Circuits, vol.33, No.10, pp1568-1571, October 1998.
[41] H. Kojima, et al., "Half-Swing Clocking Scheme for 75% Power Saving in Clocking Circuitry", Symposium on VLSI Circuits Digest of Technical Papers, pp.23-24, June 1994.
[42] H. Kawaguchi, "A Reduced Clock-Swing Flip-Flop(RCSFF) for 63% Power Reduction", IEEE Journal Solid-State Circuits, vol.33, No5, pp.807-811, May 1998.
[43] K. Sasaki et al., "A 9ns 1Mb CMOS SRAM", IEEE International Solid-State Circuits Conference Digest of Technical Papers, pp. 34-35, February 1989.
[44] H. Yamauchi et al., "A Circuit Technology for High-Speed Battery-Operated 16Mb CMOS DRAM's", IEEE Journal Solid-State Circuits, vol. 28, No. 11, pp.1084-1091, November 1993.
[45] D. Keitel-Schulz et al., "Issues in Embedded DRAM Development and Applications", System Synthesis, Proceedings. 11th International Symposium, pp.23-28, 1998.
[46] M. Togo et al., "A salicide-bridged trench capacitor with a double-sacrificial-Si/sub 3/N/sub 4/-sidewall(DSS) for high-performance logic-embedded DRAMs", Electron Devices Meeting, p37-40, 1997.
[47] S. Nakamura et al., "Embedded DRAM technology compatible to the 0.13μm high-speed logics by using Ru pillars in cell capacitors and peripheral vias", Electron Devices Meeting, p1029-1031, 1998.
[48] M. Sachdev et al., "Development of a fault model and test algorithms for embedded DRAMs", Test Conference, p815-824, 1993.
[49] T. Nagai, et al., "A 17ns 4Mb CMOS DRAM Using Direct Bit-Line Sensing Technique", IEEE International Solid-State Circuits Conference, Digest of Technical Papers, pp. 58-59, 1991.

電子全文 Fulltext
本電子全文僅授權使用者為學術研究之目的,進行個人非營利性質之檢索、閱讀、列印。請遵守中華民國著作權法之相關規定,切勿任意重製、散佈、改作、轉貼、播送,以免觸法。
論文使用權限 Thesis access permission:校內校外完全公開 unrestricted
開放時間 Available:
校內 Campus: 已公開 available
校外 Off-campus: 已公開 available


紙本論文 Printed copies
紙本論文的公開資訊在102學年度以後相對較為完整。如果需要查詢101學年度以前的紙本論文公開資訊,請聯繫圖資處紙本論文服務櫃台。如有不便之處敬請見諒。
開放時間 available 已公開 available

QR Code