Responsive image
博碩士論文 etd-0727114-222124 詳細資訊
Title page for etd-0727114-222124
論文名稱
Title
可支援3D IC測試之可適性測試模組設計與實現
Design and Implementation of A Scalable Test Module to Support 3D IC Testing
系所名稱
Department
畢業學年期
Year, semester
語文別
Language
學位類別
Degree
頁數
Number of pages
85
研究生
Author
指導教授
Advisor
召集委員
Convenor
口試委員
Advisory Committee
口試日期
Date of Exam
2014-07-31
繳交日期
Date of Submission
2014-08-28
關鍵字
Keywords
IEEE 1149.1 標準、3D IC 測試、測試效率、可擴充性
test efficiency, scalability, 3D IC testing, IEEE 1149.1 Std.
統計
Statistics
本論文已被瀏覽 5679 次,被下載 613
The thesis/dissertation has been browsed 5679 times, has been downloaded 613 times.
中文摘要
本論文提出一個基於3D IC堆疊階段的嶄新測試架構,名為可適性測試模組(Scalable Test Module, STM)。STM將以晶粒的型態與待測晶粒(die under test)一同整合,藉以解決3D IC之測試存取問題並降低測試成本。STM以面積相對於IEEE 1500標準較小的IEEE 1149.1 (JTAG)測試標準進行開發,待測晶粒僅需包覆JTAG包裝界面即可以隨插即用方式與STM進行整合,進行測試存取及應用。STM本身可產生所有所需測試控制訊號,並可擷取並比對測試結果、支援平行測試、及廣播測試資料以改善JTAG存取較慢的缺點。STM更可互相連接,一同運作使更多待測晶粒可同時測試,以更加提升測試效率。使用者可根據待測晶粒之數量與種類、測試硬體成本與測試時間等因素彈性調整STM晶粒的數量,以取得一個平衡之測試解決方案。

本論文所提出之STM的初始版本已利用TSMC T18製程實現後下線於103A梯次,並且完成晶片的測量。初始版本於合成階段的最高操作頻率為140MHz,合成面積為27387um2(約為2739個基本邏輯閘);於佈局階段的最高操作頻率為125MHz,佈局面積為392329 um2。最佳化版本亦已利用TSMC T18製程實現,合成階段的最高操作頻率為140MHz,合成面積為27573um2(約為2758個基本邏輯閘);於佈局階段的最高操作頻率為125MHz,佈局面積為390340 um2。使用ISCAS C7552及S38584 標準電路及IWLS DMA標準電路的分析結果也展示了STM測試效率可藉由更改STM之數量彈性調整之特性。
Abstract
This thesis presents a new test architecture based on 3D IC stacking stage, called scalable test module (STM). STM will be fabricated a separate die and integrated with dies unde test (DUT) to address the test access problem of 3D IC with low test cost. STM is developed based on the IEEE 1149.1 (JTAG) test wrapper that has lower hardware overhead compared with the IEEE 1500 Std. DUTs only need to be wrapped by JTAG wrappers and then can be integrated with STM in a plug-and-play manner to perform test access and test application. STM can generate all the required test control signals on-die, and also can retrieve and compare test responses, support parallel testing and broadcast test data to address the possible long test time issue for JTAG. Furthermore, multiple STMs can be connected together and work collaboratively to make more DUTs be tested concurrently for further increasing the test efficiency. Users can flexibly adjust the total number of integrated STMs to achieve a good trade-off between test time and test cost.

The prelimanary version of the proposed STM has been fabricated by using the TSMC T18 process and tested by Advantest 93K ATE. The logic synthesis results show that the maximum operation frequency is 140MHz, and the cell area is 27387um2 (2739 basic logic gates). After the physical design stage, the maximum operating frequency is 125MHz, and the layout area is 392329 um2. We also implement an optimized version of STM and implement it using the TSMC T18 process. The maximum operating frequency is 140 (125) MHz, and the area is 27573um2 (390340 um2) after the logic synthesis (physical design) process. The analysis results using the ISCAS C7552及S38584 and IWLS DMA benchmark circuits demonstrate the scalability of STM for enhancing the test efficiency.
目次 Table of Contents
論文審定書 ii
誌謝 iii
中文摘要 iv
英文摘要 v
目錄 vi
圖目錄 viii
表目錄 xii
第一章 簡介 1
1.1 3D IC簡述 1
1.2 3D IC測試流程 1
1.3 本論文之貢獻 3
1.4 論文大綱 3
第二章 IEEE 1149.1測試標準包裝 4
第三章 可適性測試模組之介紹 10
3.1 基於3D IC測試的嶄新測試架構 10
3.2 可適性測試模組之測試運作流程 15
3.2.1 初始化 15
3.2.2 下載Test Data 16
3.2.3 執行測試存取 18
3.2.4 上傳測試結果 23
3.3 可適性測試模組之硬體架構 24
3.3.1 Download區塊 25
3.3.2 Test Access Mechanism(TAM)區塊 26
3.3.3 Upload區塊 27
3.3.4 Storage區塊 28
3.4 可適性測試模組之硬體實現 30

第四章 模擬結果 33
4.1 初始化 33
4.1.1 設定Level Index 33
4.1.2 下載Upload Type 33
4.2 下載測試資料 34
4.2.1 下載Receive Signal 34
4.2.2 下載Mission 35
4.2.3 下載PD1 35
4.2.4 下載PD2 36
4.2.5 下載RD1 37
4.2.6 下載RD2 38
4.3 執行測試存取 40
4.3.1 初始化JTAG 40
4.3.2 解碼Test Data 41
4.3.3 設定JTAG Instruction 41
4.3.4 執行Capture & Shift DR 43
4.3.5 執行Shift DR Only 45
4.3.6 比對TDO訊號 46
4.3.6 模擬DUT有fault的情況 47
4.3.7 結束測試存取 48
4.4 上傳測試結果 50
4.4.1 初始化 50
4.4.2 上傳測試結果 50
第五章 測試時間分析 53
5.1 各個運作流程的執行時間 53
5.2 Internal Test範例之時間分析 55
5.3 Internal Test於一般化情況之時間分析 58
5.4 實際電路執行Internal Test之時間 65
5.5 External Test之時間分析 67

第六章 結論與成果 69
參考文獻 70
參考文獻 References
[1] P. Garrou, C. Bower and P. Ramm, “Introduction to 3D integration,” in Handbook of 3D Integration: Technology and Applications of 3D Integrated Circuits, pp. 1-11, 2008.

[2] P. Garrou, C. Bower and P. Ramm, “Overview of 3D integration process technology,” in Handbook of 3D Integration: Technology and Applications of 3D Integrated Circuits, pp. 25-44, 2008.

[3] E.J. Marinissen and Y. Zorian, “Testing 3D chips containing through-silicon vias,” in Proc. IEEE Int. Test Conf. (ITC), pp. 1-11, 2009.

[4] S.K. Goel, S. Adham, M.-J. Wang, J.-J. Chen, T.-C. Huang, A. Mehta, F. Lee, V. Chickermane, B. Keller, T. Valind, S. Mukherjee, N. Sood, C. Jeongho, H.H. Lee, C. Jungi and K. Sangdoo, “Test and debug strategy for TSMC CoWoSTM stacking process based heterogeneous 3D IC: a silicon case study,” in Proc. IEEE Int. Test Conf. (ITC), pp. 1-10, 2013.

[5] S. Deutsch, B. Keller, V. Chickermane, S. Mukherjee, N. Sood, S.K. Goel, J. Chen, A. Mehta, F. Lee and E.J. Marinissen, “DfT architecture and ATPG for interconnect tests of JEDEC wide IO memory on logic die stacks,” in Proc. IEEE Int. Test Conf. (ITC), pp. 1-10, 2012.

[6] E.J. Marinissen, J. Verbree and M. Konijnenburg, “A structured and scalable test access architecture for TSV-based 3D stacked ICs,” in Proc. VLSI Test Symp. (VTS), pp. 269-274, 2010.

[7] E.J. Marinissen, C.-C. Chi, J. Verbree and M. Konijnenburg, “3D DfT architecture for pre-bond and post-bond testing,” in Proc. IEEE 3D Syst. Integr. Conf. (3DIC), pp. 1-8, 2010.

[8] IEEE Std. 1149.1-2001, IEEE Standard Test Access Port and Boundary-Scan Architecture, IEEE Press, New York, 2001.

[9] L.T. Wang, C.W.Wu and X.Wen, “Boundary scan and core-based testing,” in VLSI Test Principles and Architectures, pp. 558-618, 2006.

[10] D.L. Lewis and H.-H.S Lee, “Testing circuit-partitioned 3D IC designs,” in Proc. IEEE Computer Society Annu. Symp. on VLSI (ISVLSI), pp. 139-144, 2009.

[11] Y.-W. Lee and N.A. Touba, “Unified 3D test architecture for variable test data bandwidth across pre-bond, partial stack, and post-bond test,” in Proc. IEEE Int. Symp. on Defect and Fault Tolerance in VLSI and Nanotechnology Syst. (DFT), pp. 186-189, 2013.

[12] K.-J. Lee, C.-Y. Chu and Y.-T. Hong, “An embedded processor based SOC test platform,” in Proc. IEEE Int. Symp. on Circuits and Syst. (ISCAS), pp. 2983-2986, 2005.

[13] M.H. Tehranipour, M. Nourani, S.M. Fakhraie and A. Afzali-Kusha, “Systematic test program generation for SOC testing using embedded processor,” in Proc. IEEE Int. Symp. on Circuits and Syst. (ISCAS), pp. 541-544, 2003.
[14] J.-R. Huang, M.K. Iyer and K.-T. Cheng, “A self-test methodology for IP cores in bus-based programmable SOCs,” in Proc. VLSI Test Symp., pp. 198-203, 2001.

[15] K.-J. Lee, T.-Y. Hsieh, C.-Y. Chang, Y.-T. Hong and W.-C. Huang, “On-chip SOC test platform design based on IEEE 1500 standard,” IEEE Trans. on VLSI Syst., Vol. 18, No. 7, pp. 1134-1139, 2010.
[16] C.-Y. Lo, C.-H. Wang, K.-L. Cheng, J.-R. Huang, C.-W. Wang, S.-M. Wang and C.-W. Wu, “A platform for automatic SOC test integration,” IEEE Trans. on VLSI Syst., Vol. 15, No. 5, pp. 541-545, 2007.

[17] A. Kumar, S.M. Reddy, I. Pomeranz and B. Becker, “Hyper-graph based partitioning to reduce DFT cost for pre-bond 3D-IC testing,” in Proc. Design Automation and Test in Europe Conf. And Exhibition (DATE), pp. 1-6, 2011.

[18] B. Noia and K. Chakrabarty, “Testing and design-for-testability techniques for 3D integrated circuits,” in Proc. Asian Test Symp. (ATS), pp. 474-479, 2011.

[19] S. Panth, S. K. Lim, “Transition delay fault testing of 3D ICs with IR-drop study,” in Proc. IEEE VLSI Test Symposium (VTS), pp. 270-275, 2012.

[20] A. Krstic, W.-C. Lai, K.-T. Cheng, L. Chen and S. Dey, “Embedded software-based self-test for programmable core-based designs,” IEEE Design & Test of Computers, 19 (4), pp. 18-27, 2002.

[21] M. Benabdenbi, A. Greiner, F. Pecheux, E. Viaud and M. Tuna, “STEPS: experimenting a new software-based strategy for testing SoCs containing 1500-compliant IP cores,” in Proc. Design Automation and Test in Europe Conf. And Exhibition (DATE), pp. 712-713, 2004.

[22] E.J. Marinissen, “Testing TSV-based three-dimensional stacked ICs,” in Proc. Design Automation and Test in Europe Conf. And Exhibition (DATE), pp. 1689-1694, 2010.

[23] C.-Y. Lo, Y.-T. Hsing, L.-M. Denq and C.-W. Wu, “SOC test architecture and method for 3-D ICs,” IEEE Trans. on Computer-Aided Design of Integr. Circuits and Syst. (CAD), Vol. 29, No. 10, pp. 1645-1649, 2010.

[24] B. Noia, K. Chakrabarty, SK Goel, E.J. Marinissen and J. Verbree, “Test-architecture optimization and test scheduling for TSV-based 3-D stacked ICs,” IEEE Trans. On CAD, Vol. 30, No. 11, pp. 1705-1718, 2011.
電子全文 Fulltext
本電子全文僅授權使用者為學術研究之目的,進行個人非營利性質之檢索、閱讀、列印。請遵守中華民國著作權法之相關規定,切勿任意重製、散佈、改作、轉貼、播送,以免觸法。
論文使用權限 Thesis access permission:自定論文開放時間 user define
開放時間 Available:
校內 Campus: 已公開 available
校外 Off-campus: 已公開 available


紙本論文 Printed copies
紙本論文的公開資訊在102學年度以後相對較為完整。如果需要查詢101學年度以前的紙本論文公開資訊,請聯繫圖資處紙本論文服務櫃台。如有不便之處敬請見諒。
開放時間 available 已公開 available

QR Code