Responsive image
博碩士論文 etd-0801111-164321 詳細資訊
Title page for etd-0801111-164321
論文名稱
Title
具容錯及無死結特性之客製化三維網路晶片拓樸合成方法
Fault-Tolerant Deadlock-Free Custom NoC Topology Synthesis for Three-Dimensional Integrated Circuits
系所名稱
Department
畢業學年期
Year, semester
語文別
Language
學位類別
Degree
頁數
Number of pages
56
研究生
Author
指導教授
Advisor
召集委員
Convenor
口試委員
Advisory Committee
口試日期
Date of Exam
2011-06-10
繳交日期
Date of Submission
2011-08-01
關鍵字
Keywords
三維整合電路、容錯、拓樸、無死結、網路晶片
3D ICs, deadlock-free, topology, fault tolerant, Network-on-Chip
統計
Statistics
本論文已被瀏覽 5680 次,被下載 0
The thesis/dissertation has been browsed 5680 times, has been downloaded 0 times.
中文摘要
本論文提出一種具有容錯(fault tolerant)與無死結(deadlock-free)特性之客製化三維網路晶片(3D NoC)合成方法。本研究中,在系統層級平面規劃下考慮容錯(fault tolerant)的功能,並且同時模擬合成處理元件(Processing Element, PE)和通訊元件的結構,我們稱它為3D-NoC-FT。實驗結果說明,3D-NoC-FT比起先前研究客製化的三維網路晶片(3D NoC)有更低的功率消耗。而且3D-NoC-FT也更具可擴展性,這使得它非常適合用在複雜的三維網路晶片(3D NoC)設計上。實驗結果顯示,比起先前無考慮連線(link)容錯的三維網路晶片(3D NoC) 之研究3D-SAL-FP,本論文所採用的3D-NoC-FT方法節省了1.67X的功率消耗,但亦付出相對性的代價:增加17%的時間延遲與產生35%的矽穿孔(TSV)個數。
Abstract
This thesis proposes a synthesis methodology which is capable of fault-tolerance and deadlock-free for constructing a custom NoC topology in 3D ICs. In this thesis, the processors and their communications can be synthesized simultaneously in the system-level floorplanning with fault tolerant consideration, called 3D-NoC-FT. Experimental results show that the pro-posed 3D-NoC-FT produces custom 3D NoCs with lower power dissipation than previous works. This method is also more scalable, which makes it ideal for complicated 3D NoC de-signs. Compared with the previous 3D NoC work (3D-SAL-FP) without link fault tolerance, our fault tolerant method outperforms on the average the power dissipation by 1.67X with rela-tively small overhead of latency by 17% and the number of TSV by 35%, respectively.
目次 Table of Contents
CHAPTER 1 簡介 1
1.1 背景 1
1.2 研究動機 5
1.3 貢獻 5
1.4 論文架構 5
CHAPTER 2 相關文獻探討 6
CHAPTER 3 問題描述 9
3.1 問題描述 9
3.2 符號與定義 11
CHAPTER 4 拓樸產生演算法- 3D-NOC-FT 13
4.1 PHASE I: TRANSFORMATION FROM 2D TO 3D 13
4.2 PHASE II: TOPOLOGY CONSTRUCTION 20
4.3 PHASE III: DEADLOCK RECOVERY FOR ENSURING DEADLOCK FREE CHARACTERISTICS 23
4.4 PHASE IV: WIRELENGTH-AWARE FLOORPLANNING 24
CHAPTER 5 實驗結果 25
5.1 實驗環境與設定 25
5.2 實驗結果 25
5.2.1 和2D Mesh比較 (Table 5 2) 25
5.2.2 和3D ASIC NoC比較 (Table 5 4、Table 5 5) 27
5.2.3 和2D ASIC NoC比較 (Table 5 6) 28
5.2.4 相同的製程參數下比較3D-NoC-FT和3D-SAL-FP (Table 5 4) 28
5.2.5 相同的製程參數下比較3D-NoC和3D-SAL-FP (Table 5 5) 29
5.2.6 #Tier=1的3D-NoC-FT和3D-NoC與CosiNoC比較 (Table 5 9、Table 5 10) 30
5.2.7 層數不同的比較 (Table 5 7、Figure 5 1) 31
CHAPTER 6 結論 39
參考文獻 40
PUBLICATION LIST 46
參考文獻 References
[1] C. Addo-Quaye, “Thermal-aware Mapping and Placement for 3-D NoC Designs,” In Proceedings of the IEEE International System-on-Chip Conference (SOCC’05), pp.25-28, Sept. 2005.
[2] G. Ascia, V. Catania, and M. Palesi, “Multi-Objective Mapping for Mesh-based NoC Architecture,” In Proceedings of the IEEE/ACM/IFIP International Conference on Hardware/Software Codesign and System Synthesis((CODES+ISSS '04)), pp. 182-187, 2004.
[3] K. Banerjee, S. J. Souri, P. Kapur, and K.C. Saraswat, “3-D ICs: A Novel Chip Design for Improving Deep-submicrometer Interconnect Performance and Systems,” Proceedings of the IEEE, vol.89, no.5, pp. 602-633, May 2001.
[4] L. Benini, “Application Specific NoC Design,” In Proceedings of the IEEE/ACM Design, Automation, and Test in Europe Conference & Exhibition (DATE’06), pp. 491-495, Mar. 2006.
[5] L. Benini, and G.D. Micheli, “Networks on Chips: Technology and Tools.” Morgan Kaufmann, 2004.
[6] M.-S. Chen and K.G. Shin, “Depth-First Search Approach for Fault-Tolerant Routing in Hypercube Multicomputers,” IEEE Transactions on Parallel and Distributed Systems, vol. 1, no. 2, pp. 152-159, 1990.
[7] T.-C. Chen, Y.-W. Chang, and S.-C. Lin, “A New Multilevel Framework for Large-Scale Interconnection-Driven Floorplanning,”IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 27, no. 2, pp. 286-294, Feb. 2008.
[8] Y. Chen, J. Hu, and X. Ling, X. “De Bruijn Graph Based 3D Network on Chip Architecture Design,” In Proceedings of the IEEE International Conference on Communications, Circuits and Systems (ICCCAS’09), pp. 986-990, 2009.
[9] C.-L. Chou, U.Y. Ogras, and R. Marculescu, “Energy- and Performance-Aware Incremental Mapping for Networks on Chip with Multiple Voltage Levels,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol.27, no.10, pp. 1866-1879, Oct. 2008.
[10] T.H. Cormen, C.E. Leiserson, R.L. Rivest, and C. Stein, “Introduction to Algorithms,” 2nd Edition, McGraw-Hill Book Company, 2001.
[11] W.J. Dally and B.P. Towles, “Principles and Practices of Interconnection Networks,” Morgan Kaufmann, 2005.
[12] J.A. Davis, R. Venkatesan, A. Kaloyeros, M. Beylansky, S.J Souri, K. Banerjee, K.C. Saraswat, A. Rahman, R. Reif, J.D. Meindl, “Interconnect Limits on Gigascale Integration (GSI) in the 21st Century,” In Proceedings of the IEEE, vol.89, no.3, pp. 305-324, Mar. 2001.
[13] B.S. Feero and P.P. Pande, “Networks-on-Chip in a Three-dimensional Environment: A Performance Evaluation,” IEEE Transactions on Computers, vol. 58, No. 1, pp. 32-45, Jan. 2005.
[14] B.S. Feero and P.P. Pande, “Min-Cut Floorplacement,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 25, no. 7, pp. 1313-1326, 2006.
[15] C.J. Glass and L.M. NI, “The Turn Model for Adaptive Routing,” In Proceedings of the IEEE/ACM International Symposium on Computer Architecture (ISCA’92), pp. 278-287, 1992.
[16] R.J. Gutmann, J.Q. Lu, Y. Kwon, J.F. McDonald, and T.S. Cale, “Three-Dimensional (3D) ICs: A Technology Platform for Integrated Systems and Opportunities for New Polymeric Adhesives,” In Proceedings of the First International IEEE Conference on Polymers and Adhesives in Microelectronics and Photonics (POLYTR’01), pp. 173-180, 2001.
[17] R. Holsmark, M. Palesi, and S. Kumar, “Deadlock Free Routing Algorithms for Irregular Mesh Topology NoC Systems with Rectangular Regions,” Journal of Systems Architecture, vol. 54, pp. 427-440, 2008.
[18] F.L. Hsu, “Network Flow Base Algorithm for Data Allocation on Wireless Broadcast Channel,” Master Thesis, Dept. of Information Management, Nan-Hua University, Taiwan, 2004.
[19] Y.-S. Huang, Y.-J. Hong, and J.-D. Huang, “Communication Synthesis for Interconnect Minimization in Multicycle Communication Architecture,” IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences, vol. E92.A, no. 12, pp. 3143-3150, 2009 .
[20] J. Hu, and R. Marculescu, “Energy-Aware Mapping for Tile-based NoC Architectures under Performance Constraints,” In Proceedings of the IEEE/ACM Asia and South Pacific Design Automation Conference (ASP-DAC’03), pp. 233-239, Jan. 2003.
[21] J. Hu, and R. Marculescu, “Energy-Aware Communication and Task Scheduling for Network-on-Chip Architectures under Real-Time Constraints,” In Proceedings of the conference on Design, automation and test in Europe (DACE’04), pp. 233-239, Jan. 2003.
[22] M. Imase, and M. Itoh, “Design to Minimize Diameter on Building-Block Network,” Computers, IEEE Transactions on, vol.C-30, no.6, pp. 439-442, June, 1981.
[23] M. Imase, and M. Itoh, “A Design for Directed Graphs with Minimum Diameter,” Computers, IEEE Transactions on, vol.C-32, no.8, pp. 782-784, Aug. 1983.
[24] A. Jalabert, S. Murali, L. Benini, G. De Micheli, “×pipesCompiler: A Tool for Instantiating Application Specific Networks on Chip,” In Proceedings of the IEEE/ACM Design, Automation, and Test in Europe Conference & Exhibition (DATE’04), vol.2, pp. 884-889 , Feb. 2004.
[25] Y.-L. Jeang, J.-M. Jou, and W.-H. Huang, “A Binary Tree Based Methodology for Designing an Application Specific Network-on-Chip (ASNOC)”. IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences, vol. E88-A, pp. 3531-3538, Dec. 2005.
[26] Y.-L. Jeang, T.-S. Wey, H.-Y. Wang, C.-W. Hung, and J.-H. Liu, "An Adaptive Routing Algorithm for Mesh-Tree Architecture in Network-on-Chip Designs," In Proceedings of the 3rd International Conference on Innovative Computing Information and Control (ICICIC’08), pp. 182, June, 2008.
[27] S. Jovanovic, C. Tanougast, S. Weber, and C. Bobda, “A New Deadlock-Free Fault-Tolerant Routing Algorithm for NoC Interconnections,” In Proceedings of International Conference on Field Programmable Logic and Applications (FPL’09), pp. 326-331, 2009.
[28] A. B. Kahng, B. Li, L.-S. Peh, and K. Samadi, “Orion 2.0: A Fast and Accurate NoC Power and Area Model for Early-Stage Design Space Exploration,” Proceedings of the IEEE/ACM Design, Automation, and Test in Europe Conference & Exhibition (DATE’09), pp. 423-428, 2009.
[29] W.J. Kim and S.Y. Hwang, “Design of an Area-Efficient and Low-Power NoC Architecture Using a Hybrid Network Topology,” IEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences, vol. E91-A, no. 11, pp. 3297-3303, 2008.
[30] Y.B. Kim and Y.-B. Kim, “Fault Tolerant Source Routing for Network-on-Chip,” In Proceedings of IEEE International Symposium on Defect and Fault-Tolerant in VLSI Systems (DFT’07), pp. 12-20, 2007.
[31] M. Kreutz, C. Marcon, L. Carro, N. Calazans, and A.A. Susin, “Energy and Latency Evaluation of NoC Topologies,” In Proceedings of IEEE International Symposium on Circuits and Systems (ISCAS’05), vol, 6, pp. 5866-5869, 2005.
[32] F. Li, C. Nicopoulos, T. Richardson, Y. Xie, V. Narayanan, and M. Kandemir, “Design and Management of 3D Chip Multiprocessors using Network-in-Memory,” In Proceedings of the 33rd IEEE International Symposium on Computer Architecture (ISCA’06), pp. 130-141, 2006.
[33] K.S.-M. Li, S.-Y. Chen, L.-B. Chen, and R.-T. Gu, “A Fast Custom Network Topology Generation with Floorplanning for NoC-based Systems,” In Proceedings of the IEEE International Conference on IC Design and Technology (ICICDT’11), 2011.
[34] H.-E. Lian, C. Chen, J.-W. Chang, C.-C. Shen, R.-H. Jan, “Shortest Path Routing with Reliability Requirement in Delay Tolerant Networks,” In Proceedings of International Conference on Future Information Networks (ICFIN’09), pp. 292-297, Oct. 2009.
[35] G. Liu and K.S.Y. Lee, “Optimal Routing Algorithms for Generalized De Bruijn Digraphs,” In Proceedings of the 1993 International Conference on Parallel Processing (ICPP’93), vol. 3, pp. 167-174, 1993.
[36] I. Loi, F. Angiolini, and L. Benini, “Developing Mesochronous Synchronizers to Enable 3D NoC,” In Proceedings of the IEEE/ACM Design, Automation and Test in Europe Conference & Exhibition (DATE’08), pp. 1414-1419, 2008.
[37] I. Loi, F. Angiolini, S. Fujita, S. Mitra, and L. Benini, “Characterization and Implementation of Fault-Tolerant Vertical Links for 3-D Networks-on-Chip,” IEEE Transaction on Computer-Aided Design of Integrated Circuits and Systems, vol. 30, no. 1 pp. 124-134, 2011.
[38] I. Loi, S. Mitra, S. Fujia, and L. Benini, “A Low-overhead Fault Tolerance Scheme for TSV-based 3D Network on Chip Links,” In Proceedings of the IEEE/ACM International Conference on Computer-Aided Design (ICCAD’08), pp. 598-602, Nov. 2008.
[39] R. Marculescu, U.Y. Ogras, L.-S. Peh, N.E. Jerger, and Y. Hoskote, “Outstanding Research Problems in NoC Design: System, Microarchitecture, and Circuit Perspectives,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 28, No. 1, pp. 3-21, Jan. 2009.
[40] I. Meikei, K.W. Guarini, V. Chan, K. Bernstein, R. Joshi, J. Kedzierski, W. Haensch, “Three dimensional CMOS devices and integrated circuits,” In Proceedings of the IEEE Custom Integrated Circuits Conference (CICC’03), pp. 207- 213, Sept. 2003.
[41] N. Miyakawa, “A 3D Prototyping Chip Based on a Wafer-Level Stacking Technology,” In Proceedings of the IEEE/ACM Asia and South Pacific Design Automation Conference (ASP-DAC’09), pp. 416-420, 2009.
[42] J. Munkres, “Algorithms for the Assignment and Transportation Problems,” Journal of the Society for Industrial and Applied Mathematics,Vol. 5, No. 1,pp. 32-38, Mar. 1957.
[43] S. Murali, L. Benini, and G. Micheli, “Mapping and Physical Planning of Networks-on-Chip Architectures with Quality-of-Service Guarantees,” In Proceedings of the IEEE/ACM Asia and South Pacific Design Automation Conference (ASP-DAC’05), vol. 1, pp. 27-32, 2005.
[44] S. Murali, P. Meloni, F. Angiolini, D. Atienza, S. Carta, L. Benini, G.D. Micheli, L. Raffo, “Designing Application-Specific Networks on Chips with Floorplan Information,” In Proceedings of IEEE/ACM International Conference (ICCAD '06), pp.355-362, Nov. 2006.
[45] S. Murali, C. Seiculescu, L. Benini, and G. De Micheli, “Synthesis of Networks on Chips for 3D Systems on Chip,” In Proceedings of the IEEE/ACM Asia and South Pacific Design Automation Conference (ASP-DAC’09), 242-247, 2009.
[46] J. Nurmi, “Network-on-Chip: A New Paradigm for System-on-Chip Design,” In Proceedings of the IEEE System-on-Chip Conference (SOCC’05), pp. 2-6, 2005.
[47] D. Park, C. Nicopoulos, J. Kim, N. Vijaykrishnan, and C.R. Das, “Exploring Fault-Tolerant Network-on-Chip Architectures,” In Proceedings of the 2006 International Conference on Dependable Systems and Networks (DNS’06), pp. 93-104, 2006.
[48] V. Pasca, L. Anghel, C. Rusu, and M. Benabdenbi, “Configurable Serial Fault-Tolerant Link for Communication in 3D Integrated Systems,” In Proceedings of the 2010 IEEE 16th International On-Line Testing Symposium (IOLTS’10), pp. 115-120, 2010.
[49] V.F. Pavlidis, and E.G. Friedman, “3-D Topologies for Networks-on-Chip,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 15, no. 10, pp. 1081-1090, Oct. 2007.
[50] A. Pinto, L. Carloni, and A. Sangiovanni-Vincentelli, “A Methodology for Constraint-Driven Synthesis of On-Chip Communication,” IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. 28, no. 3, pp. 364-377, 2009.
[51] M. Pirretti, G.M. Link, R.R. Brooks, N. Vijaykrishnan, M.T. Kandemir, and M.J. Irwin, “Fault Tolerant Algorithms for Network-on-Chip Interconnect,” In Proceedings of IEEE Computer Society Annual Symposium on VLSI (ISVLSI’04), pp. 46-51, 2004, 46-51.
[52] M.R.N. Rad, R. Kourdy, M.R. Nasab, and M. Poyan, “Improvement the NOC Bandwidth and Fault Tolerant by Multipath Routing in Three-Dimensional Topologies for Multi-Media Applications,” In Proceedings of the 2nd International Conference on Computer and Automation Engineering (ICCAE’10), vol. 2, pp. 497-501, 2010.
[53] C. Seiculescu, S. Murali, L. Benini, and G.D. Micheli, “Sunfloor 3D: A Tool for Networks on Chip Topology Synthesis for 3D Systems on Chips,” In Proceedings of the IEEE/ACM Design, Automation, and Test in Europe Conference & Exhibition (DATE’09), pp. 9-14, Apr. 2009.
[54] Semiconductor Industry Association (SIA), International Technology Roadmap for Semiconductors, 2009, http://www.itrs.net.
[55] M. Seyrafi, A. Asad, A.E. Zonouz, R. Berangi, M. Fathy, and M. Soryani, “A New Low Cost Fault Tolerant Solution for Mesh based NoC,” In Proceedings of the IEEE International Conference on Electronics and Information Engineering (ICEIE’10), vol. 2, pp. 207-213, 2010.
[56] T. Schonwald, J. Zimmermann, O. Bringmann, and W. ROSENTIEL, “Fully Adaptive Fault-Tolerant Routing Algorithm for Network-on-Chip Architectures,” In Proceedings of the 10th Euromicro Conference on Digital System Design Architectures, Methods and Tools (DSD’07), pp. 527-534, 2007.
[57] K. Srinivasan, and K.S. Chatha, “A Methodology for Layout Aware Design and Optimization of Custom Network-on-Chip Architectures,” In Proceedings of the IEEE International Symposium on Quality Electronic Design (ISQED’06), pp. 352-357, 2006.
[58] K. Srinivasan, K.S. Chatha, and G. Konjevod, “An Automated Technique for Topology and Route Generation of Application Specific On-Chip Interconnection Networks,” In Proceedings of IEEE/ACM International Conference on Computer-Aided Design (ICCAD’05), pp. 231-237, 2005.
[59] K. Srinivasan, K.S. Chatha, and G. Konjevod, “Linear-Programming-based Techniques for Synthesis of Networks-on-Chip Architectures,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 14, no. 4, pp. 407-420, 2006.
[60] B. Swinnen, W. Ruythooren, P.D.M.L. Bogaerts, L. Carbonell, K.D. Munck, B. Eyckens, S. Stoukatch, D.S. Tezcan, D. Sabuncuoglu, Z. Tokei, K.Vaes, J.V. Aelst, and E. Beyne, “3-D Integration by Cu-Cu Thermo-Compression Bonding of Extremely Thinned Bulk-Si Die Containing 10μm Pitch Through-Si Vias,” In Proceedings of IEEE International Electron Devices Meeting (IEDM’06), pp.1-4, Dec. 2006.
[61] A.W. Topol, D.C. La Tulipe, L. Shi, S.M.Alam, D.J. Frank, S.E. Steen, J. Vichiconti, D. Posillico, M. Cobb, S. Medd, J. Patel, S. Goma, D. DiMilia, M.T. Robson, E. Duch, M. Farinelli, C. Wang, R.A. Conti, D.M. Canaperi, L, Deligianni, A. Kumar, K.T. Kwietniak, C. D'Emic, J. Ott, A.M. Young, K.W. Guarini, and M. Ieong, “Enabling SOI Based Assembly Technology for Three Dimensional (3D) Integrated Circuits (ICs),” In Proceedings of IEEE International Electron Devices Meeting (IEDM’05), pp. 352-355, Dec. 2005.
[62] A. W. Topol, D.C.L. Tulipe, L. Shi, D. J. Frank, K. Bernstein, S.E. Steen, A. Kumar, G.U. Singco, A.M. Young, K.W. Guarini, M. Ieong, “Three-Dimensional Integrated Circuits,” IBM Journal of Research and Development, vol.50, pp. 491-506, July, 2006.
[63] V.V. Vazirani, “Approximation Algorithms,” Springer, 2003.
[64] D. Wolpert, B. Fu, and P. Ampadu, “Temperature-Aware Delay Borrowing for Energy-Efficient Low-Voltage Link Design,” In Proceedings of the Fourth ACM/IEEE International Symposium on Networks-on-Chip (NOCS’10), pp. 107-114, May, 2010.
[65] L. Xue, C.C. Liu , H.-S. Kim, S.K. Kim, and S. Tiwari, “Three-dimensional Integration: Technology, Use, and Issues for Mixed-Signal Applications,” IEEE Transactions on Electron Devices, vol.50, no.3, pp. 601- 609, March 2003.
[66] S. Yan, and B. Lin, “Design of Application-Specific 3D Networks-on-Chip Architectures,” In Proceedings of the IEEE International Conference on Computer Design (ICCD’08), pp. 142-149, Oct. 2008.
[67] Y.-W. Yang, and K.S.-M. Li, “Temperature-Aware Dynamic Frequency and Voltage Scaling for Reliability and Yield Enhancement,” In Proceedings of the IEEE/ACM Asia and South Pacific Design Automation Conference (ASP-DAC’09), pp.49-54, Jan. 2009.
[68] P. Zhou, P.-H. Yuh, and S.S. Sapatnekar, “Application-Specific 3D Network-on-Chip Design Using Simulated Allocation,” In Proceedings of the IEEE/ACM Asia and South Pacific Design Automation Conference (ASP-DAC’10), pp. 517-522, 2010.
電子全文 Fulltext
本電子全文僅授權使用者為學術研究之目的,進行個人非營利性質之檢索、閱讀、列印。請遵守中華民國著作權法之相關規定,切勿任意重製、散佈、改作、轉貼、播送,以免觸法。
論文使用權限 Thesis access permission:自定論文開放時間 user define
開放時間 Available:
校內 Campus:永不公開 not available
校外 Off-campus:永不公開 not available

您的 IP(校外) 位址是 3.147.104.248
論文開放下載的時間是 校外不公開

Your IP address is 3.147.104.248
This thesis will be available to you on Indicate off-campus access is not available.

紙本論文 Printed copies
紙本論文的公開資訊在102學年度以後相對較為完整。如果需要查詢101學年度以前的紙本論文公開資訊,請聯繫圖資處紙本論文服務櫃台。如有不便之處敬請見諒。
開放時間 available 已公開 available

QR Code