Responsive image
博碩士論文 etd-0809113-170119 詳細資訊
Title page for etd-0809113-170119
論文名稱
Title
低功耗多讀寫埠記憶體之實作及在繪圖處理器之應用
Implementation of Low Power Multi-Port Memory and It’s Applications in Graphic Processing Units
系所名稱
Department
畢業學年期
Year, semester
語文別
Language
學位類別
Degree
頁數
Number of pages
98
研究生
Author
指導教授
Advisor
召集委員
Convenor
口試委員
Advisory Committee
口試日期
Date of Exam
2013-07-19
繳交日期
Date of Submission
2013-09-10
關鍵字
Keywords
低功耗設計、本體偏壓、電源閘控、頂點處理器、混合訊號設計、多讀寫埠SRAM
body-bias, mixed-signal design flow, vertex shader processor, power-gating, low-leakage design, multi-port SRAM
統計
Statistics
本論文已被瀏覽 5696 次,被下載 1410
The thesis/dissertation has been browsed 5696 times, has been downloaded 1410 times.
中文摘要
記憶體在現今的系統晶片 (system-on-chip ,SoC) 上所扮演的角色越來越重要,並且佔去整體晶片中大部分的面積。由於系統的複雜度逐漸提升,對於能提供多讀寫埠的記憶體需求也隨著增加,雖然商業公司有提供可支援single-port與dual-port的記憶體產生器,然而在處理器的設計上往往需要可以支援同時多個讀取與寫入功能的記憶體,因此無法有效的使用在cell-based design flow。另一方面,漏電流功耗的問題對於現今記憶體設計也成為一個很嚴重的問題,隨著製程尺寸的下降與讀寫埠的增加,會讓漏電流所佔的比例逐漸上升。為了解決能支援多個讀寫功能的需求與加速設計流程的整合,本論文實作一個可支援多個讀寫功能的記憶體,在記憶體元件設計上採用了單端的讀寫埠來減少面積,並使用階層式字元線架構與預先充電控制電路來降低功耗,此外還加入了一些低功率的設計來減少漏電流功耗,最後透過混合訊號設計流程(Mixed-Signal Design Flow)將本論文的多讀寫埠記憶體實踐於本實驗室所開發的頂點處理器(Vertex Shader)之記憶體設計。
Abstract
Memory design plays an important role in current system-on-chip (SoC) design because memory takes a significant portion of total area. As the complexity of processor cores increases, the support of multiple read/write ports becomes an important issue in memory design. Although current commercial standard cell library usually support multi-port memory generators, they are not very efficient in many SoC design and the number of supported read/write ports usually do not satisfy system requirement. Another important issue in memory design for portable systems is the leakage power which is becoming a critical issue in advanced process technologies. In this thesis, we present a low-leakage multi-port SRAM design and apply it to the design of register files in the vertex shader processor for 3D graphics applications. The multi-port SRAM design uses single-end read/write circuit to reduce area, hierarchical decoding to reduce dynamic power, and some additional circuits to reduce static leakage power. The proposed multi-port SRAM design is integrated with the vertex shader processor using mixed-signal design flow.
目次 Table of Contents
中文論文審定書 i
中文摘要 iii
Abstract iv
第1章 導論 1
1.1 研究動機 1
1.2 論文組織 2
第2章 相關文獻 3
2.1 傳統SRAM架構 3
2.2 傳統6T SRAM細胞元設計 7
2.3 SRAM穩定度分析 9
2.4 SRAM周邊電路設計 13
2.5 改善漏電流設計 19
第3章 多讀寫埠SRAM設計 25
3.1 傳統電路架構設計 25
3.2 SRAM細胞元設計 29
3.3 周邊電路設計 35
3.4 電路佈局設計 42
第4章 低功率之設計 48
4.1 本體偏壓 48
4.2 電源閘控 55
4.3 低功率SRAM佈局設計 61
4.4 數據分析與比較 63
第5章 繪圖處理器之應用 71
5.1 應用於Vertex Shader之記憶體實作 71
5.2 多讀寫埠SRAM設計之比較 78
5.3 低功率SRAM設計之比較 79
5.4 繪圖處理器記憶體設計之比較 81
第6章 結論與未來展望 82
參考文獻 83
參考文獻 References
[1] Neil H. E. Weste and D. Harris, Integrated Circuit Design(4th Edition).2011
[2] M. Yoshimoto et al., “A Divided Word-Line Structure in the Static RAM and Its Application to a 64K Full CMOS RAM,” IEEE Journal of Solid-state Circuits, Oct. 1983.
[3] B.D. Yang and L. Kim, “A Low-Power SRAM Using Hierarchical Bit Line and Local Sense Amplifiers,” IEEE J. Solid-State Circuits, vol. 40, no. 6, Jun. 2005.
[4] M. Yamaoka et al., “90-nm Process-Variation Adaptive Embedded SRAM Modules With Power-Line-Floating Write Technique,” IEEE Journal of Solid-state Circuits, vol. 40, no.3, Mar. 2006.
[5] M. Yamaoka et al., “SRAM Design on 65-nm CMOS Technology With Dynamic Sleep Transistor for Leakage Reduction,” IEEE Journal of Solid-state Circuits, vol. 40, no.4, Apr. 2005.
[6] H. Jiao and V. Kursun, “Ground Bouncing Noise Suppression Techniques for Data Preserving Sequential MTCMOS Circuits,” IEEE Trans. Very Large Scale Integr. (VLSI) Syst, vol. 19, no. 5, May 2011.
[7] James Warnock et al., “Circuit Design Techniques for a First-Generation Cell Broadband Engine Processor,” IEEE Journal Solid-State Circuits, vol. 41, no. 8, Aug. 2006.
[8] Michael Golden et al., “A Seventh-Generation x86 SPARC Microprocessor,” IEEE J. Solid-State Circuits, vol. 34, no. 11, Nov 1999.
[9] Eric S. Fetzer et al., “The Parity Protected, Multithreaded Register Files on the 90-nm Itanium Microprocessor,” IEEE Journal Solid-State Circuits, vol. 41, no. 1, Jan. 2006.
[10] B. S. Amrutur and M. A. Horowitz, “Fast Low-Power Decoders for RAMs,” IEEE Journal of Solid-state Circuits, vol. 36, no.10, Oct. 2001.
[11] Ana Sonia Leon et al., “A Power-Efficient High-Throughput 32-Thread SPARC Processor,” IEEE Journal Solid-State Circuits, vol. 42, no. 1, Jan. 2007.
[12] Shenglong Li, Zhaolin Li and Fang Wang, “Design of A High-Speed Low-Power Multipart Register File,” PRIMEASIA, Jan. 2009.
[13] B.-G Nam, H. Kim, and H.-J Yoo, “Power and Area-Efficient Unified Computation of Vector and Elementary Functions for Handheld 3D Graphics Systems”, IEEE Transactions on Computers, Vol.57, No.4, PP.490-504,Apr. 2008.
[14] A. Calimera, A. Macii, E. Macii, and M. Poncino, "Design Techniques and Architectures for Low-Leakage SRAMs," Circuits and Systems I: Regular Papers, IEEE Transactions on, vol. 59, pp. 1992-2007, 2012.
[15] T. Sueyoshi, H. Uchida, H. J. Mattausch, T. Koide, Y. Mitani, and T. Hironaka, "Compact 12-port multi-bank register file test-chip in 0.35μm CMOS for highly parallel processors," in Design Automation Conference, 2004. Proceedings of the ASP-DAC 2004. Asia and South Pacific, 2004, pp. 551-552
[16] J. Singh, D. S. Aswar, S. P. Mohanty, and D. K. Pradhan, "A 2-port 6T SRAM bitcell design with multi-port capabilities at reduced area overhead," in Quality Electronic Design (ISQED), 2010 11th International Symposium on, 2010, pp. 131-138.
[17] P. Sang Phill, K. Soo Youn, L. Dongsoo, K. Jae-Joon, W. P. Griffin, and K. Roy, "Column-selection-enabled 8T SRAM array with ∼1R/1W multi-port operation for DVFS-enabled processors," in Low Power Electronics and Design (ISLPED) 2011 International Symposium on, 2011, pp. 303-308.
[18] B. H. Calhoun and A. P. Chandrakasan, "A 256-kb 65-nm Sub-threshold SRAM Design for Ultra-Low-Voltage Operation," Solid-State Circuits, IEEE Journal of, vol. 42, pp. 680-688, 2007.
[19] S. Lin, Y. B. Kim, and F. Lombardi, “A low leakage 9T SRAM cell for ultra-low power operation,” in Proc. GLSVLSI, May 2008, pp.123–126.
[20] L. Jungseob and A. Davoodi, "Comparison of Dual-Vt Configurations of SRAM Cell Considering Process-Induced Vt Variations," in Circuits and Systems, 2007. ISCAS 2007. IEEE International Symposium on, 2007, pp. 3018-3021.
[21] C. H. Kim, K. Jae-Joon, S. Mukhopadhyay, and K. Roy, "A forward body-biased low-leakage SRAM cache: device, circuit and architecture considerations," Very Large Scale Integration (VLSI) Systems, IEEE Transactions on, vol. 13, pp. 349-357, 2005.
[22] C. H. Kim and K. Roy, "Dynamic Vt SRAM: a leakage tolerant cache memory for low voltage microprocessors," in Low Power Electronics and Design, 2002. ISLPED '02. Proceedings of the 2002 International Symposium on, 2002, pp. 251-254.
[23] C. Y. Wang, "Development of a Multi-Port Memory Generator and Its Application in the Design of Register Files," Master’s thesis, University of NSYSU, 2011.
[24] H. Chung-Hsien, C. Tung-Shuan, and H. Wei, "Distributed data-retention power gating techniques for column and row co-controlled embedded SRAM," in Memory Technology, Design, and Testing, 2005. MTDT 2005. 2005 IEEE International Workshop on, 2005, pp. 129-134.
電子全文 Fulltext
本電子全文僅授權使用者為學術研究之目的,進行個人非營利性質之檢索、閱讀、列印。請遵守中華民國著作權法之相關規定,切勿任意重製、散佈、改作、轉貼、播送,以免觸法。
論文使用權限 Thesis access permission:自定論文開放時間 user define
開放時間 Available:
校內 Campus: 已公開 available
校外 Off-campus: 已公開 available


紙本論文 Printed copies
紙本論文的公開資訊在102學年度以後相對較為完整。如果需要查詢101學年度以前的紙本論文公開資訊,請聯繫圖資處紙本論文服務櫃台。如有不便之處敬請見諒。
開放時間 available 已公開 available

QR Code