Responsive image
博碩士論文 etd-0809116-172513 詳細資訊
Title page for etd-0809116-172513
論文名稱
Title
兩種應用於低功率高速度系統之垂直式無電容單電晶體動態隨機存取記憶體
Two Vertical Capacitor-less One-Transistor DRAMs for Low-Power and High-Speed Application
系所名稱
Department
畢業學年期
Year, semester
語文別
Language
學位類別
Degree
頁數
Number of pages
131
研究生
Author
指導教授
Advisor
召集委員
Convenor
口試委員
Advisory Committee
口試日期
Date of Exam
2016-09-08
繳交日期
Date of Submission
2016-09-09
關鍵字
Keywords
閘極引致汲極漏電流機制、無電容式單電晶體動態隨機存取記憶體、垂直式架構、環繞式閘極、撞擊游離機制、溝槽
Trench, Capacitor-less 1T-DRAM, Surrounding Gate, Impact Ionization, Gate-Induced Drain-Leakage, Vertical Structure
統計
Statistics
本論文已被瀏覽 5719 次,被下載 58
The thesis/dissertation has been browsed 5719 times, has been downloaded 58 times.
中文摘要
我們提出有關能達到低功率消耗高速度的無電容式單電晶體動態隨機存取記憶體(Capacitorless One-Transistor Dynamic Random Access Memory, 1T-DRAM)之架構,使用環繞式閘極(Gate All Around, GAA)結合垂直本體通道區並修改製程搭配而形成溝槽(Pass-Way Trench and Vertical Channel Transistor)之電晶體;以及解決了自我對準問題的垂直之電流橋元件架構,搭配偏移功函數和High-K氧化層之技術,達到縮小操作偏壓的目的。
第一個元件相對於傳統的架構,最大的差異就是元件從平面變為垂直式的架構;再來為閘極的形態,元件使用環繞式閘極,使閘極對通道的控制力更強;而我們在通道下方製作了溝槽儲存區,最主要的優點是可以在不增加消耗空間並擁有可以控制大小的儲存空間;但在記憶體操作部分隨著集積密度增加使元件的間隔變小,記憶體會面臨到陣列干擾的問題,如何將干擾問題解決將是記憶體的一大挑戰,而我們將元件製作中的溝槽部分做了修改並形成改良的新溝槽,希望能藉由將氧化層的阻隔去減少受到干擾時偏壓能給它的影響。然而,以本架構的傳統去做比較,可程式編程視窗減少了12.86%和寫入速度則變慢了119.51%,但對於記憶體而言另一重要的性能,資料保持時間可以獲得大幅度的改善。
第二個元件主要是在研究功函數的改變,藉由加大功函數的方法去降低操作偏壓,使閘極引致汲極漏電流機制能夠運用在低操作偏壓之下。而本架構的傳統元件隔離氧化層有著無法對準的問題,我們將元件改為垂直通道並搭配蝕刻技術去克服本問題,不只能使用在低於1.2伏特,在干擾部分也具有相當好的表現。
於本文中除了性能外也注重功率消耗,近期內有學者研究發現在電路中有大量的功率消耗原因都在記憶體陣列的部分,而我們也試著挑戰國際半導體技術藍圖對記憶體的標準,設計元件在低偏壓操作之方向。而本篇論文探討了性能,也以ITRS作為標準去設計偏壓作為達到低功率高速度的方向。
Abstract
In this thesis, we propose a Capacitor-less 1T-DRAM structure with the pass-way trench for High performance and Low Power application. We have improved the device fabrication process to form the pass-way trench of the structure which combines the Vertical Channel and the Gate-All-Around structure (PTVCT). And, we also propose a Vertical Channel 1T-DRAM structure with the workfunction offset technology and High-K Oxide (WOVCT) for solving the self-aligned problem and the scaling biasing.
First, the PTVCT is compared with that conventional lateral structure that the most of improvement is vertical structure. And then, the PTVCT combines the Gate-All-Around structure that it has high gate controllability. Finally, the PTVCT has the controllable trench region under the channel that it is able to reduce the consumption. However, the package density of memory array increases that it results the disturbance seriously by the high density. We use the modified process to form the pass-way trench, it reduce the disturbance of biasing by using the isolation oxide. Comparing the conventional of the trench, the programming window and the programming time are degraded that they are 12.86 % and 119.51 % respectively. But the retention time is longer than the conventional by the pass-way trench.
Second, the WOVCT uses the high workfunction to operate by using the Gate-Induced Drain-Leakage mechanism (GIDL). It results the GIDL mechanism is possible to operate for the low biasing by the workfunction offset. However, the process of lateral device is complexity in the isolation oxide process. We use the same etching technology to solve the self-aligned problem with the vertical structure. The WOVCT is able to operate below the 1.2 V and it has good disturbance immunity.
In conclusion, we care the performance and the power consumption. Recently, we know the operation of memory is large consumption. We follow the ITRS to design the scaling biasing for the low power application. According to the standard of ITRS, the performance of the memory is potential to achieve the High-Speed operation and the Low-Power application.
目次 Table of Contents
第一章   導 論 1
1.1 研究背景 1
1.2 論文回顧 4
1.2.1 水平式元件架構 4
1.2.2 垂直式元件架構 6
1.2.3 電流橋元件架構 13
1.3 動機 16
1.4 論文架構 17
第二章 操作原理 18
2.1 物理機制探討 18
2.2 無電容式單電晶體動態隨機存取記憶體寫入機制說明 19
2.2.1 撞擊游離機制 19
2.2.2 寄生BJT(Parasitic BJT)機制 21
2.2.3 閘極引致汲極漏電流機制 23
2.2.4 穿隧場效應電晶體操作機制 25
2.2.5 閘極引致汲極漏電流與撞擊游離整合機制 27
第三章 元件設計 29
3.1 元件模擬探討 29
3.1.1 PTVCT模擬製程 29
3.1.2 電流橋元件模擬製程 31
3.2 元件實際製程探討 33
3.2.1 電流橋元件實作製程 33
第四章 PTVCT元件之研究方法探討與結果討論 35
4.1 物理機制模型探討 35
4.2 PTVCT元件架構說明 38
4.3 PTVCT元件之基本電性討論 42
4.3.1 輸入特性曲線 42
4.3.2 輸出特性曲線 43
4.4 PTVCT元件之記憶體特性探討 45
4.4.1 PTVCT元件之操作方式 45
4.4.2 PTVCT元件之可程式規劃視窗 (Programming Window, PW) 46
4.4.3 PTVCT元件之資料保存時間 (Retention Time, RT) 48
4.4.4 PTVCT元件之操作速度探討 50
4.4.5 PTVCT元件之抹除電壓探討 53
4.4.6 PTVCT元件之最佳化探討 56
4.4.7 PTVCT元件之溫度變化探討 58
4.4.8 PTVCT元件之低功率模式探討 60
4.4.9 PTVCT元件之電路陣列干擾探討 63
4.4.10 PTVCT元件之干擾容忍度探討 66
4.5 PTVCT元件之記憶體邊際比較 68
第五章 電流橋元件研究與探討 69
5.1 研究結果探討 69
5.1.1 WOVCT元件之架構說明 69
5.2 WOVCT元件之基本電性討論 71
5.2.1 輸入特性曲線 71
5.2.2 輸出特性曲線 73
5.3 WOVCT元件之記憶體特性探討 76
5.3.1 WOVCT元件之操作方式 76
5.3.2 WOVCT元件之可程式規劃視窗 77
5.3.3 WOVCT元件之資料保存時間 81
5.3.4 WOVCT元件之操作速度探討 83
5.3.5 WOVCT元件之溫度變化探討 85
5.3.6 WOVCT元件之操作功率探討 89
5.3.7 WOVCT元件之電路陣列干擾探討 91
5.4 WOVCT元件之記憶體邊際比較 96
5.5 實作結果探討 97
5.5.1 元件光罩規劃與製作細節 97
5.5.2 元件特性量測 101
第六章 結論與未來展望 103
6.1 結論 103
6.2 未來展望 104
參考文獻 105
附錄 112
論文著作 115
參考文獻 References
[1] G. E. Moore, “Cramming more components onto integrated circuits,” Proc. IEEE, vol. 86, no.1, pp.82-85, Jan. 1998.
[2] C. Maleville, “Engineered substrates for Moore and more than Moore’s law: Device scaling: Entering the substrate era,” in IEEE SOI-3D-Subthreshold Microelectronics Tech. Unified Conf. (S3S), Oct. 2015, pp. 1-5.
[3] Y. Halawani, B. Mohammad, D. Homouz, M. Al-Qutayri, and H, Saleh, “Modeling and Optimization of Memristor and STT-RAM-Based Memory for Low-Power Applications,” in IEEE Trans. VLSI Systems, Jun. 2015, pp. 1–12.
[4] Y.-J. Kim, and W.–Y. Choi, “Nonvolatile Nanoelectromechanical Memory Switches for Low-Power and High-Speed Field-Programmable Gate Arrays,” IEEE Trans. Electron Devices, vol. 62, no. 2, pp. 673-679, Feb. 2015.
[5] C. Sahu, and J. Singh, “Potential Benefits and Sensitivity Analysis of Dopingless Transistor for Low Power Applications,” IEEE Trans. Electron Devices, vol. 62, no. 3, pp. 729-735, Mar. 2015.
[6] B.-D Yang, “Low-Power Effective Memory-Size Expanded TCAM Using Data-Relocation Scheme,” IEEE Solid-State Circuits, vol. 50, no. 10, pp. 2441-2450, Oct. 2015.
[7] K. Zaitsu, K. Tatsumura, M. Matsumoto, M. Oda, and S. Yasuda, “Nonvolatile Programmable Switch With Adjacently Integrated Flash Memory and CMOS Logic for Low-Power and High-Speed FPGA,” IEEE Trans. Electron Devices, vol. 62, no. 12, pp. 4009-4014, Dec. 2015.
[8] M. Sako, Y. Watanabe, T. Nakajima, J. Sato, K. Muraoka, M. Fujiu, F. Kono, M. Nakagawa, M. Masuda, K. Kato, Y. Terada, Y. Shimizu, M. Honma, A. Imamoto, T. Araya, H. Konno, T. Okanaga, T. Fujimura, X. Wang, M. Muramoto, M. Kamoshida, M. Kohno, Y. Suzuki, T. Hashiguchi, T. Kobayashi, M. Yamaoka, and R. Yamashita, “Low Power 64 Gb MLC NAND-Flash Memory in 15 nm CMOS Technology,” IEEE Solid-State Circuits, vol. 51, no. 1, pp. 196-203, Jan. 2016.
[9] K. Kim, C.-G. Hwang, and J. G. Lee, “DRAM technology Perspective for Gigabit era,” IEEE Trans. Electron Devices, vol. 45, no. 3, pp. 598-607, Mar. 1998.
[10] K.-W. Chang, D. Lee, Z. Chishti, R. Alameldeen, C. Wilkerson, Y. Kim, and O. Mutlu, “Improving DRAM Performance by Parallelizing Refreshes with Accesses,”
105
in IEEE 20th int. Symp. on High Performance Computer Architecture (HPCA), Feb. 2014, pp. 356-367.
[11] A. Nitayama, Y. Kohyama, and K. Hieda, “Future Directions for DRAM Memory Cell Technology,” in IEDM Tech. Dig., Dec. 1998, pp. 355-358.
[12] H. P. Moll, J. Hartwich, A. Scholz, D. Temmler, A. P. Graham, S. Slesazek, G. Wedler, L. Heineck, T. Mono, U. Zimmermann, K. Schupke, F. Ludwig, I. Park, T. Tran, and W. Müller, “Self-Alignment Techniques to enable 40nm Trench Capacitor DRAM Technologies with 3-D Array Transistor and Single-Sided Strap,”in IEEE VLSI Tech., Jun. 2007, pp. 188-189.
[13] Y.-H. Wu, C.-M. Chang, C.-Y. Wang, C.-K. Kao, C.-M. Kuo, A. Ku, and T. Huang, “Augmented Cell Performance of NO-Based Storage Dielectric by N2O-Treated Nitride Film for Trench DRAM,” IEEE Electron Device Lett., vol. 29, no. 2, pp. 149-151, Feb. 2008.
[14] T. Kaga, T. Kure, H. Shinriki, Y. Kawamoto, F. Murai, T. Nishida, Y. Nakagome, D. Hisamoto, T. Kisu, E. Takeda, and K. Itoh, “Crown-shaped stacked-capacitor cell for 1.5-V operation 64-Mb DRAMs,” IEEE Trans. Electron Devices, vol. 38, no. 2, pp. 255-261, Feb. 1991.
[15] M. Kawano, N. Takahashi, Y. Kurita, K. Soejima, M. Komuro, and S. Matsui, “Three-Dimensional Packaging Technology for Stacked DRAM With 3-Gb/s Data Transfer,” IEEE Trans. Electron Devices, vol. 55, no. 7, pp. 1614-1620, Jul. 2008.
[16] H.-j. Wann, and C. Hu, “A capacitorless DRAM cell on SOI substrate,” in IEDM Tech. Dig., Dec. 1993, pp. 635-638.
[17] S. Okhonin, M. Nagoga, J. M. Sallese, and P. Fazan, “A SOI capacitor-less 1T-DRAM concept,” in IEEE Int. SOI Conf., Oct. 2001, pp. 153-154.
[18] A. Wei, M. J. Sherony, and D. A. Antoniadis, “Effect of Floating-Body Charge on SOI MOSFET Design,” IEEE Trans. Electron Devices, vol. 45, no. 2, pp. 430-438, Feb. 1998.
[19] M. Sarajli, and R. Ramovi, “Analytical Modeling of the Triggering Drain Voltage at the Onset of the Kink Effect for PD SOI NMOS,” in 25th Int. Conf. on Microelecronics, May. 2006, pp. 325-328.
[20] G. Hiblot, Q. Rafhay, F. Boeuf, and G. Ghibaudo, “Impact of short-channel effects on velocity overshoot in MOSFET,” in IEEE 13th Int. New Circuits and Systems Conf., Jun. 2015, pp. 1-4.
106
[21] E. Yoshida, T. Miyashita, and T. Tanaka, “A study of highly scalable DG-FinDRAM,” IEEE Electron Device Lett., vol. 26, no. 9, pp. 655-657, Sep. 2005.
[22] M.-K. Jeong, K.-H. Park, H.-I. Kwon, S.-H. Kong, and J.-H. Lee, “Vertical double-gate structure with nonvolatile charge storage node for 1T-DRAM cell device,” in IEEE Silicon Nanoeletronic Workshop, Jun. 2008, pp. 1-2.
[23] G. Kim, S. W. Kim, J. Y. Song, J. P. Kim, K.-C. Ryoo, J.-H. Oh, J. H. Park, H. W. Kim, and B.-G. Park, “Body-raised double-gate structure for 1T DRAM,” in IEEE Nanotechnology Materials and Devices Conf., Jun. 2009, pp. 259-263.
[24] J.-W. Han, S.-W. Ryu, D.-H. Kim, C.-J. Kim, S. Kim, D.-I. Moon, S.-J. Choi, and Y.-K. Choi, “Fully Depleted Polysilicon TFTs for Capacitorless 1T-DRAM,” IEEE Electron Device Lett., vol. 30, no. 7, pp. 742-744, Jul. 2009.
[25] G. Giusi, M. A. Alam, F. Crupi, and S. Pierro, “Bipolar Mode Operation and Scalability of Double-Gate Capacitorless 1T-DRAM Cells,” IEEE Trans. Electron Devices, vol. 57, no. 8, pp. 1743-1750, Aug. 2010.
[26] N. Collaert, M. Aoulaiche, B. D. Wachter, M. Rakowski, A. Redolfi, S. Brus, A. D. Keersgieter, N. Horiguchi, L. Altimime, and M. Jurczak, “A low-voltage biasing scheme for aggressively scaled bulk FinFET 1T-DRAM featuring 10s retention at 85°C,” in IEEE VLSI Tech., Jun. 2010, pp. 161-162.
[27] D.-I. Moon, S.-J. Choi, J.-W. Han, S. Kim, and Y.-K. Choi, “Fin-Width Dependence of BJT-Based 1T-DRAM Implemented on FinFET,” IEEE Electron Device Lett., vol. 31, no. 9, pp. 909–911, Sep. 2010.
[28] Y.-C. Wang, J.-T. Lin, P.-H. Lin, S.-C. Tseng, H.-P. Hsu, D.-R. Lu, Y.-H. Lin, J.-M. Syu, and Z.-H. Huang, “Novel 1T-dram with fin-gate and pillar structure for hole storage and data retention time improvement,” in IEEE Int. Conf. Solid-State and Integrated Circuit Tech., Oct. 2014, pp. 1–3.
[29] D.-I. Moon, J.-Y. Kim, H. Jang, H.-J. Hong, C. K. Kim, J.-S. Oh, M.-H. Kang, J.-W. Kim, and Y.-K. Choi, “A Novel FinFET With High-Speed and Prolonged Retention for Dynamic Memory,” IEEE Electron Device Lett., vol. 35, no. 12, pp. 1236–1238, Dec. 2014.
[30] J.-T. Lin, P.-H Lin, Y.-C Eng, and Y.-R Chen, “Novel Vertical SOI-Based 1T-DRAM With Trench Body structure,” IEEE Trans. Electron Devices, vol. 60, no. 6, pp. 1872-1877, Jun. 2013.
107
[31] A. Pal, A. Nainani, and K. C. Saraswat, “Addressing key challenges in 1T-DRAM: Retention time, scaling and variability — Using a novel design with GaP source-drain,” in IEEE Int. Conf. Simulation of Semiconductor Proc. and Devices (SISPAD), Sep. 2013, pp. 376–379.
[32] D.-I. Moon, J.-Y. Kim, J.-B. Moon, D.-O. Kim, and Y.-K. Choi, “Evolution of Unified-RAM: 1T-DRAM and BE-SONOS Built on a Highly Scaled Vertical Channel,” IEEE Trans. Electron Devices, vol. 61, no. 1, pp. 60-65, Jan. 2014.
[33] J.-T. Lin, and P.-H. Lin, “Multifunction Behavior of a Vertical MOSFET With Trench Body Structure and New Erase Mechanism for Use in 1T-DRAM,” IEEE Trans. Electron Devices, vol. 61, no. 9, pp. 3172-3178, Sep. 2014.
[34] J.-T. Lin, P.-H. Lin, S. W. Haga, Y.-C. Wang, and D.-R. Lu, “Transient and Thermal Analysis on Disturbance Immunity for 4F2 Surrounding Gate 1T-DRAM With Wide Trenched Body,” IEEE Trans. Electron Devices, vol. 62, no. 1, pp. 61-68, Jan. 2015.
[35] N. Z. Butt, and M. A. Alam, “Scaling Limits of Double-Gate and Surround-Gate Z-RAM Cells,” IEEE Trans. Electron Devices, vol. 54, no. 9, pp. 2255-2262, Sep. 2007.
[36] M. Galeti, M. Rodrigues, J. A. Martino, N. Collaert, E. Simoen, M. Aoulaiche, M. Jurczak, and C. Claeys, “BJT effect analysis in p- and n-SOI MuGFETs with high-k gate dielectrics and TiN metal gate electrode for a 1T-DRAM application,” in IEEE Int. SOI Conf., Oct. 2011, pp. 1-2.
[37] J.-S. Shin, H. Choi, H. Bae, J. Jang, D. Yun, E. Hong, D.-H. Kim, and D.-M. Kim, “Vertical-Gate Si/SiGe Double-HBT-Based Capacitorless 1T DRAM Cell for Extended Retention Time at Low Latch Voltage,” IEEE Electron Device Lett., vol. 33, no. 2, pp. 134-136, Feb. 2012.
[38] A. Pal, A. Nainani, Z. ye, X. Bao, E. Sanchez, and K. C. Saraswat, “GaP source-drain SOI 1T-DRAM: Solving the key technological challenges,” in IEEE SOI-3D-Subthreshold Microelectronics Tech. Unified Conf., Oct. 2013, pp. 1-2.
[39] K.-H. Park, C. M. Park, S. H. Kong, and J.-H. Lee, “Novel Double-Gate 1T-DRAM Cell Using Nonvolatile Memory Functionality for High-Performance and Highly Scalable Embedded DRAMs,” IEEE Trans. Electron Devices, vol. 57, no. 3, pp. 614-619, Mar. 2010.
[40] J.-W. Han, S.-W. Ryu, D.-H. Kim, and Y.-K. Choi, “Polysilicon Channel TFT 108
With Separated Double-Gate for Unified RAM (URAM)—Unified Function for Nonvolatile SONOS Flash and High-Speed Capacitorless 1T-DRAM,” IEEE Trans. Electron Devices, vol. 57, no. 3, pp. 601-607, Mar. 2010.
[41] N. Rodriguez, S. Cristoloveanu, and F. Gamiz, “A-RAM: Novel capacitor-less DRAM memory,” in IEEE Int. SOI Conf., Oct. 2009, pp. 1-2.
[42] N. Rodriguez, F. Gamiz, and S. Cristoloveanu, “A-RAM Memory Cell: Concept and Operation,”IEEE Electron Device Lett., vol. 31, no. 9, pp. 972-974, Sep. 2010.
[43] N. Rodriguez, S. Cristoloveanu, and F. Gamiz, “Novel Capacitorless 1T-DRAM Cell for 22-nm Node Compatible With Bulk and SOI Substrates,” IEEE Trans. Electron Devices, vol. 58, no. 8, pp. 2371-2377, Aug. 2011.
[44] F. Gamiz, and N. Rodriguez, “A 20nm low-power triple-gate multibody 1T-DRAM cell,” in Proc. Tech. Program of 2012 VLSI Tech., Systems and Applications, Apr. 2012, pp. 1-2.
[45] N. Rodriguez, C. Navarro, F. Gamiz, F. Andrieu, O. Faynot, and S. Cristoloveanu, “Experimental Demonstration of Capacitorless A2RAM Cells on Silicon-on-Insulator,” IEEE Electron Device Lett., vol. 33, no. 12, pp. 1717-1719, Dec. 2012.
[46] F. Gamiz, N. Rodriguez, C. Marquez, C. Navarro, and S. Cristoloveanu, “A2RAM: Low-power 1T-DRAM memory cells compatible with planar and 3D SOI substrates,” in IEEE SOI-3D-Subthreshold Microelectronics Tech. Unified Conf., Oct. 2014, pp. 1-2.
[47] C.-W. Lee, R. Yan, I. Ferain, A. Kranti, N. D. Akhavan, P. Razavi, R. Yu, and J. P. Colinge, “Nanowire zero-capacitor DRAM transistors with and without junctions,” in IEEE Conf. Nanotechnology, Aug. 2010, pp. 242-245.
[48] M. Lee, T. Moon, and S. Kim, “Floating Body Effect in Partially Depleted Silicon Nanowire Transistors and Potential Capacitor-Less One-Transistor DRAM Applications,” IEEE Trans. Nanotechnology, vol. 11, no. 2, pp. 355-359, Mar. 2012.
[49] D.-I. Moon, S.-J. Choi, J.-W. Han, and Y.-K. Choi, “An Optically Assisted Program Method for Capacitorless 1T-DRAM,” IEEE Trans. Electron Devices, vol. 57, no. 7, pp. 1714-1718, Jul. 2010.
[50] Z. Lu, J. G. Fossum, W. Zhang, V. P. Trivedi, L. Mathew, and M. Sadd, “A Novel Two-Transistor Floating-Body/Gate Cell for Low-Power Nanoscale Embedded
109
DRAM,” IEEE Trans. Electron Devices, vol. 55, no. 6, pp. 1511-1518, Jun. 2008.
[51] A. Biswas, and A. M. Ionescu, “Study of fin-tunnel FETs with doped pocket as capacitor-less 1T DRAM,” in IEEE SOI-3D-Subthreshold Microelectronics Tech. Unified Conf., Oct. 2014, pp. 1-2.
[52] P. Fazan, S. Okhonin, M. Nagoga, J. M. Sallese, L. Portmann, R. Ferrant, M. Kayal, M. Pastre, M. Blagojevic, A. Borschberg, and M. Declercq, “Capacitor-Less 1-Transistor DRAM,” in IEEE Int. SOI Conf., pp.10-13, Oct. 2002.
[53] W.-J. Lee, and W.-Y. Choi, “A Novel Capacitorless 1T DRAM Cell for Data Retention Time Improvement,” IEEE Trans. Nanotechnology, vol. 10, no. 3, pp. 462-466, May. 2011.
[54] T. Tanaka, E. Yoshida, and T. Miyashita, “Scalability study on a capacitorless 1T-DRAM: from single-gate PD-SOI to double-gate FinDRAM,” in IEDM Tech. Dig., Dec. 2004, pp. 919-922.
[55] J.-H. Chen, S.-C Wong, and Y.-H Wang, ”An analytic three-terminal band-to-band tunneling model on GIDL in MOSFET, ” IEEE Trans. Electron Devices, vol. 48, no. 7, pp. 1400-1405, Jul. 2001.
[56] E. Yoshida, and T. Tanaka, “A capacitorless 1T-DRAM technology using gate-induced drain-leakage (GIDL) current for low-power and high-speed embedded memory,” IEEE Trans. Electron Devices, vol. 53, no. 4, pp. 692-697, Apr. 2006.
[57] H. Tao, J. Hou, and Z. Shao, ”Novel operation mechanism of capacitorless DRAM cell using impact ionization and GIDL effects, ” Computer Tech. and Application, vol. 4, no. 7, pp. 351-355, Jul. 2013.
[58] J.-T. Lin, T.-F. Chang, Y.-C. Eng, P.-H. Lin, and C.-H. Chen, “Charactyeristics of a Smiling Polysilicon Thin-Film Transistor,” IEEE Electron Device Lett., vol. 33, no. 6, pp. 830-832, Jun. 2012.
[59] Y.-C. Wang, “Two Novel Capacitorless One-Transistor DRAMs with Multi-Gate and Nano-Pillar Structure,” M.S. thesis, Dept. Elect. Eng., Sun Yat-Sen Univ., Kaohsiung, Taiwan, 2015.
[60] D.-R Lu, “Novel Vertical Transistor with N-channel and Isolation Oxide for 1T-DRAM Application,” M.S. thesis, Dept. Elect. Eng., Sun Yat-Sen Univ., Kaohsiung, Taiwan, 2015.
110
[61] M. Gurfinkel, J. S. Suehle, J. B. Bernstein, and Y. Shapira, “Enhanced Gate Induced Drain Leakage Current in HfO2 MOSFETs due to Remote Interface Trap-Assisted Tunneling,” in IEDM Tech. Dig., Dec. 2006, pp. 1-4.
[62] C.-W Lee, A. Borne, I. Ferain, A. Afzalain, R. Yan, N. D. Akhaven, P. Razavi, and J.-P. Colinge, “High-Temperature Performance of Silicon Junctionless MOSFETs,” IEEE Trans. Electron Devices, vol. 57, no. 3, pp. 620-625, Mar. 2010.
[63] X.-T Kong, X.-l Zhou, S.-Y Li, H.-D Chang, H.-G Liu, J. Wang, R.-R Liang, W. Wang, and J.-Q Pan, “High-Mobility In0.23Ga0.77As Channel MOSFETs Grown on Ge&Si Virtual Substrate by MOCVD,” IEEE Trans. Electron Devices, vol. 62, no. 5, pp. 1456-1459, May. 2015.
[64] V. Djara, V. Deshpande, M. Sousa, D. Caimi, L. Czornomaz, and J. Fompeyrine, “CMOS-Compatible Replacement Metal Gate InGaAs-OI FinFET with ION = 156 μA/μm at VDD = 0.5 V and IOFF = 100 nA/μm,” IEEE Electron Device Lett., vol. 37, no. 2, pp. 169-172, Feb. 2016.
[65] Sentaurus User’s Manual, ver. H-2013.03, Synopsys, Inc., Mountain View, CA, USA, Mar. 2013.
[66] A. Pal, A. Nainani, S. Gupta, and K. C. Saraswat, “Performance Improvement of One-Transistor DRAM by Band Engineering,” IEEE Electron Device Lett., vol. 33, no. 1, pp. 29-31, Jan. 2012.
[67] The International Technology Roadmap for Semiconductors (ITRS)-Table PIDS6. [Online]. Available: http://www.itrs2.net/itrs-reports.html
電子全文 Fulltext
本電子全文僅授權使用者為學術研究之目的,進行個人非營利性質之檢索、閱讀、列印。請遵守中華民國著作權法之相關規定,切勿任意重製、散佈、改作、轉貼、播送,以免觸法。
論文使用權限 Thesis access permission:自定論文開放時間 user define
開放時間 Available:
校內 Campus: 已公開 available
校外 Off-campus: 已公開 available


紙本論文 Printed copies
紙本論文的公開資訊在102學年度以後相對較為完整。如果需要查詢101學年度以前的紙本論文公開資訊,請聯繫圖資處紙本論文服務櫃台。如有不便之處敬請見諒。
開放時間 available 已公開 available

QR Code