Responsive image
博碩士論文 etd-0815106-105854 詳細資訊
Title page for etd-0815106-105854
論文名稱
Title
記憶體產生器之實作及多媒體應用中記憶體之設計
Implementation of a Memory Generator and Memory Design in Multimedia Applications
系所名稱
Department
畢業學年期
Year, semester
語文別
Language
學位類別
Degree
頁數
Number of pages
97
研究生
Author
指導教授
Advisor
召集委員
Convenor
口試委員
Advisory Committee
口試日期
Date of Exam
2006-07-19
繳交日期
Date of Submission
2006-08-15
關鍵字
Keywords
記憶體產生器、多媒體應用
Memory generator, Multimedia Applications
統計
Statistics
本論文已被瀏覽 5783 次,被下載 16
The thesis/dissertation has been browsed 5783 times, has been downloaded 16 times.
中文摘要
隨著晶片系統設計複雜度的增加,高性能嵌入式記憶體核心所佔面積比重越來越多,至今嵌入式的記憶體儼然成為系統設計上最為需要考量的一部份。本論文實做一記憶體產生器,以減少記憶體在開發時的複雜度,讓使用者能快速整合適合的記憶體到設計中。論文中除了介紹記憶體各部分元件外,並採用了一省電的架構,將龐大的記憶體陣列切分區塊後分塊動作,並且利用多重電壓來對記憶體細胞元充電來減少功率的消耗,以符合日趨重要的功率消耗問題。另外,目前的多媒體系統皆需要大量的資料傳輸而為了達到壓縮及轉換的目的,也因為大量的資料必須傳入與運算,所以一個有效率的資料搬移方式就顯得相當的重要,我們在此論文中分別針對DCT、JPEG2000與3D圖學上的應用,提出數種不同存取方式的記憶體,以增加記憶體在多媒體應用存取上的效率。最後,我們的記憶體產生器可以根據使用者需要來產生記憶體模組或是抽換記憶體電路元件,此產生器包含了Behavior Model、Synopsys library、LEF File、Spice Netlist以及Layout,讓使用者在Cell-based流程可以完整並快速地完成。
Abstract
As the complexity of SoC increases rapidly, embedded memory becomes one of the critical components in current SoC design. In this thesis, we develop a memory generator so that users can easily integrate proper embedded memory circuits into SoC chips. The generator is based on a low-power multi-voltage-source memory architecture that partitions the complete memory into two parts to avoid unnecessary operations. In addition, we also address the modification of the memory architectures in order to provide more efficient data accessing in multimedia applications such as DCT, JPEG-2000 and 3D graphics. The developed memory generator can produce all the necessary files required in the traditional cell-based design flow, including behavior model, Synopsys library, LEF file, Spice netlists and layouts, so that the designers can easily utilize the generated memory units in their ASIC designs.
目次 Table of Contents
CHAPTER 1. 導論
1.1 研究動機
1.2 論文組織
CHAPTER 2. 相關研究
2.1 記憶體產生器
2.2 記憶體架構
2.3 記憶體產生器的優點
CHAPTER 3. 記憶體產生器之設計
3.1 記憶體電路元件設計
3.1.1 記憶體細胞元設計
3.1.2 記憶體行、列解碼器設計
3.1.3 記憶體預先充電電路設計
3.1.4 寫入控制器與放大器電路設計
3.2 記憶體架構設計與實驗數據
3.2.1 記憶體架構設計
3.2.2 實驗數據
CHAPTER 4. 記憶體產生器之流程
4.1 產生器提供的模組
4.1.1 Behavior Model
4.1.2 Synopsys Timing Library
4.1.3 LEF Model
4.1.4 SPICE Netlist
4.1.5 Physical Layout
4.2 產生器的參數化及電路的取代
4.2.1 產生器的介面與使用
4.2.2 產生器在Layout上的限制
CHAPTER 5. 應用導向記憶體設計
5.1 MEMORY 3D 概念
5.1.1 Memory 3D 在 2D-DCT 上的應用
5.1.2 Memory 3D 在 JPEG 2000 上的應用
5.1.3 Memory 3D 在電路架構上的設計
5.2 3D 圖學記憶體
5.3 合成應用導向記憶體時,產生器所需之修改
CHAPTER 6. 記憶體產生器應用實例
CHAPTER 7. 未來展望
參考文獻
參考文獻 References
[1] 電子工程專輯 – 採用嵌入式測謊器實現SoC中儲存子系統的良率設計 http://www.eettaiwan.com/ART_8800403819_480302_5505128e200601.HTM
[2] H. E. Neil and David Harris, CMOS VLSI Design, A Circuit and Systems Perspective, 3rd. Ed., Addison-Wesley Publisher, 2005.
[3] K. Takeda et al., “A Read-Static-Noise-Margin-Free SRAM Cell for Low-Vdd and High-Speed Applications,” Proceedings of IEEE International Solid-State Circuits (ISSCC), Conference, Vol. 1, pp. 478-611, 2005.
[4] K. Takeda et al., “Per-bit sense amplifier scheme for 1GHz SRAM macro in sub-100nm CMOS technology,” Proceedings of IEEE International Solid-State Circuits (ISSCC), Conference, Vol. 1, pp. 502-542, 2004.
[5] B. S. Amrutur and M. A. Horowitz, “Fast Low-Power Decoders for RAMs,” IEEE Journal of Solid-state Circuits, Vol. 36, No.10, pp.1506-1515, October 2001.
[6] Y. H. Suh et al., “A 256Mb Synchronous-Burst DDR SRAM with Hierarchical Bit-Line Architecture for Mobile Applications,” Proceedings of IEEE International Solid-State Circuits (ISSCC), Conference, Vol. 1, pp. 476-611, 2005.
[7] S. H. Dhong et al., “A 4.8GHz Fully Pipelined Embedded SRAM in the Streaming Processor of a CELL Processor,” Proceedings of IEEE International Solid-State Circuits (ISSCC), Conference, Vol. 1, pp. 486-612, 2005.
[8] A. Agarwal, B. C. Paul, S. Mukhopadhyay, K. Roy, “Process variation in embedded memories: failure analysis and variation aware architecture,” IEEE Journal of Solid-state Circuits, Vol. 40, No.9, pp. 1804-1814, September 2005.
[9] F. Hamzaoglu et al., “Analysis of Dual-VT SRAM Cells With Full-Swing Single-Ended Bit Line Sensing for On-Chip Cache,” IEEE Transaction on Very Large Scale Integration (VLSI) Systems, Vol. 10, pp. 91-95, April 2002.
[10] M. Yamaoka et al., “Low-Power Embedded SRAM Modules with Expanded Margins for Writing,” Proceedings of IEEE International Solid-State Circuits (ISSCC), Conference, Vol. 1, pp. 480-611, 2005.
[11] M. Yamaoka et al., “90-nm Process-Variation Adaptive Embedded SRAM Modules With Power-Line-Floating Write Technique,” IEEE Journal of Solid-state Circuits, Vol. 40, No.3, pp. 705-711, March 2006.
[12] S. Kim, I. Chang, B. Song, J. Park, and K. Kwack, “A 300 MHz burst-pipelined CMOS SRAM macro with folded bit-line scheme,” Proceedings of IEEE Region 10 Conference, Vol. 2, pp. 1042-1045, September 1999.
[13] B. Yang, and L. Kim, “A low-power SRAM using hierarchical bit line and local sense amplifiers,” IEEE Journal of Solid-state Circuits, Vol. 40, pp. 1366-1376, June 2005.
[14] K. Mai, R. Ho, E. Alon, D. Liu, Y. Kim, D. Patil, and M. A. Horowitz, “Architecture and Circuit Techniques for a 1.1-GHz 16-kb Reconfigurable Memory in 0.18-um CMOS,” IEEE Journal of Solid-state Circuits, Vol. 40, No.1, January 2005.
[15] Z. N. Li, and M. S. Drew, Fundamentals of Multimedia, Personal Education International, 2004.
[16] T. Xanthopoulos and A. P. Chandrakasan, “A Low-Power IDCT Macrocell for MPEG2 MP@ML Exploiting Data Distribution Properties for Minimal Activity”, IEEE Journal of Solid-State Circuits, Vol. 34,. No.5, pp. 693-703, May 1999.
[17] T. Xanthopoulos and A. P. Chandrakasan, “A Low-Power DCT Core Using Adaptive Bitwidth and Arithmetic Activity Exploiting Signal Correlations and Quantization,” IEEE Journal of Solid-state Circuits, Vol. 35, No.5, pp. 740-750, May 2000.
[18] D. Taubman, “High Performance Scalable Image Compression with EBCOT,” IEEE Transaction on Image Processing, Vol. 9, pp.1158-1170, July 2000.
[19] A. K. LGupta, S. Nooshabadi, and D. Taubman, “Optimal 2 Sub-bank Memory Architecture for Bit Plane Coder of JPEG2000,” Proceedings of IEEE International Symposium on Circuits and Systems (ISCAS), Vol. 5, pp. 4373-4376, May 2005.
[20] H. C. Fang, Y. W. Chang, C. C. Cheng, C. C. Chen, and L. G. Chen, “Memory efficient JPEG2000 architecture with stripe pipeline scheme,” Proceedings of IEEE International Conference Acoustic, Speech, and Signal Processing (ICASSP), Vol. 5, pp. 18-23, Mar. 2005.
[21] T. McReynolds and D. Blythe, “Advanced Graphics Programming Using OPENGL”, Morgan Kaufmann Pub., 2005.
[22] CoWare, http://www.coware.com/products.
[23] The Open SystemC Initiative, http://www.system.org, 2006.
[24] Artisan Standard Library SRAM Generator User Manual, Artisan Components, Inc., 2003.
[25] Artisan TSMC 0.18um Process 1.8-volt SAGE-X Standard Cell Library Databook, Artisan Components, Inc., Sept. 2003.
[26] Library Compiler: Modeling Timing and Power, Synopsys, Inc., December 2004.
[27] LEF/DEF 5.5 Language Reference, Cadence Design System, June 2004.
[28] ADSP-218x DSP Hardware Reference, Analog Devices, Inc., February 2001.
[29] 李婉萍, “高效能記憶體產生器之設計與實做,” 國立中山大學資訊工程學系研究所碩士論文, 2004.
[30] 林詩芸, “快取記憶體產生器之設計與實做,” 國立中山大學資訊工程學系研究所碩士論文, 2005.
電子全文 Fulltext
本電子全文僅授權使用者為學術研究之目的,進行個人非營利性質之檢索、閱讀、列印。請遵守中華民國著作權法之相關規定,切勿任意重製、散佈、改作、轉貼、播送,以免觸法。
論文使用權限 Thesis access permission:校內一年後公開,校外永不公開 campus withheld
開放時間 Available:
校內 Campus: 已公開 available
校外 Off-campus:永不公開 not available

您的 IP(校外) 位址是 3.145.60.166
論文開放下載的時間是 校外不公開

Your IP address is 3.145.60.166
This thesis will be available to you on Indicate off-campus access is not available.

紙本論文 Printed copies
紙本論文的公開資訊在102學年度以後相對較為完整。如果需要查詢101學年度以前的紙本論文公開資訊,請聯繫圖資處紙本論文服務櫃台。如有不便之處敬請見諒。
開放時間 available 已公開 available

QR Code