Responsive image
博碩士論文 etd-0818104-191756 詳細資訊
Title page for etd-0818104-191756
論文名稱
Title
高效能記憶體產生器之設計與實作
Design and Implementaion of a High-Performance Memory Generator
系所名稱
Department
畢業學年期
Year, semester
語文別
Language
學位類別
Degree
頁數
Number of pages
55
研究生
Author
指導教授
Advisor
召集委員
Convenor
口試委員
Advisory Committee
口試日期
Date of Exam
2004-07-05
繳交日期
Date of Submission
2004-08-18
關鍵字
Keywords
記憶體產生器、靜態存取記憶體
memory generator, static random access memory, SRAM
統計
Statistics
本論文已被瀏覽 5704 次,被下載 7341
The thesis/dissertation has been browsed 5704 times, has been downloaded 7341 times.
中文摘要
本論文所實作的靜態存取記憶體自動產生器,包含列解碼器、儲存細胞元、行解碼器,以及放大器及寫入控制器,其中在列解碼器我們所採用的pass-transistor的架構,此架構不僅在面積上有比NAND的列解碼器優秀,在實做上更是比NAND容易的多。而在行解碼器則是提供了兩種選擇,第一種是樹狀架構的行解碼器,第二種則是NOR預先行解碼器架構,提供兩種不同的方案給使用者,針對不同的需求做不同的選擇。雖然本篇論文只實作出SRAM的記憶體產生器,但已經將記憶體產生器做出一個完整的平台,也就是說在未來可以根據此架構的流程,實作出更多的記憶體產生器,如快去存取記憶體、移位暫存器、唯讀記憶體、暫存器組,以及內容可定址記憶體……等等。
Abstract
The SRAM memory generator in this thesis is divided into four parts: row decoder, storage cell, column decoder, and sense amplifier & write controller. The row decoder is designed using pass-transistors logic with better area and regularity compared with conventional NAND based decoders. Two different column decoders, tree structure and NOR based predecoder, are provided in current version. Although only SRAM is implemented in this thesis, the memory generator platform is complete with all the necessary models required in the embedded design. In the future, other memories, such as cache, shift register, FIFO, stacks, ROM, register files, and content addressable memory, can be integrated in this memory generator platform.
目次 Table of Contents
Chinese Abstract
Abstract
List of Tables
List of Figures

Chapter 1 Introduction
1.1 Motivation
1.2 Current Research Status
1.3 Thesis Organization

Chapter 2 CMOS Memory Circuits
2.1 Storage Array
2.2 Row decoders
2.2.1 NAND Row Decoder
2.2.2 Pass-Transistor-Logic Row Decoder
2.3 Column Decoders
2.4 Writing Control and Bit Line Charge Circuit
2.5 Sense Amplifiers
2.6 Output Buffers
2.7 Summary

Chapter 3 Memory Generation: Cell-Based Design Flow and Artisan Memory Compiler
3.1 Cell-Based Flow for Memory Units
3.2 Artisan Memory Compiler

Chapter 4 Memory Hard IP Generator
4.1 Overview The Memory Generator30
4.2 Experimental Results

Chapter 5 Conclusions and Future Works
5.1 Conclusions
5.2 Future Works
Reference
參考文獻 References
[1] X. Q. Zhang, T. Tsang, and D. Mehta, “A multi-megabit memory compiler: tomorrow's IP”, Electrical and Computer Engineering, IEEE Canadian Conference, vol. 1 , pp. 538 – 542, May 1999.
[2] K. P. Tsao, N. Zhu and T. Pham, “A High Performance Memory Compiler”, ASIC Seminar and Exhibit, Third Annual IEEE, pp. P3/6.1 - P3/6.4, Sept. 1990.
[3] D.Donnelly, “Memory generator method for sizing transistors in RAM/ROM blocks”, Memory Technology, Design and Testing, pp. 10 – 11, Aug. 1998.
[4] T. P. Le, H. V. Phuong, P. Lin, “1 K×128 high-performance, low power configurable CMOS SRAM compiler”, ASIC Seminar and Exhibit, Third Annual IEEE, pp. P3/5.1 - P3/5.4, Sept. 1990.
[5] W. Leung, F. C. Hsu, and M. E. Jones, “The ideal SoC memory: 1T-SRAMTM“, ASIC/SOC Conference, 13th Annual IEEE International, pp. 32 – 36, Sept. 2000.
[6] T. P. Haraszti, “CMOS memory circuits”, Kluwer Academic, 2001.
[7] C. C. Wang, P. M. Lee, and K. L. Chen, “An SRAM design using dual threshold voltage transistors and low-power quenchers”, IEEE J. Solid-State Circuits, vol. 38, no. 10, pp. 1012 – 1720, Oct. 2003.
[8] N. Shibata, M. Watanabe, Y. Sato, T. Ishihara, and Y. Komine, “A 2-V 300-MHz 1-Mb current-sensed double-density SRAM for low-power 0.3-um CMOS/SIMOX ASICs”, IEEE J. Solid-State Circuits, vol. 36, no. 10, pp. 1524 – 1537,Oct. 2001.
[9] J. H. Jang, H. S. Kim, H. C. Baek, J. J. Na, K. H. Lee, D. S. Seo, K. J. Kim, K. T. Kim, Y. S. Shin, and C. G. Hwang, “A 2.05um2 full CMOS ultra-low power SRAM cell with 0.15um generation signal gate CMOS technology”, Electron Devices Meeting, IEDM Technical Digest. International, pp. 579 – 582, Dec. 2000.
[10] Y. Ni and F. Devos, “A 4-transistor static memory cell design with a standard CMOS process”, Circuits and Systems, vol. 1, pp. 162 – 166, May. 1989.
[11] O. Minato et al., “2K x 8 bit Hi-CMOS static RAMs”, IEEE J. Solid-State Circuits, vol. SC-15, pp. 656-660, Aug. 1980.
[12] B. S. Amrutut and M. A. Horowitz, “Fast low-power decoders for RAMs”, IEEE J. Solid-State Circuits, vol.36, no. 10, pp. 1506 – 1515, Oct. 2001.
[13] C. Mead, L. Conway, ”Introduction to Vlsi System”, Addison-Wesley, 1980.
[14] Synopsys, “Library compilerTM reference manual: technology and symbol libraries”, version. U-2003.03, Synopsys, Mar. 2003.
[15] P. Y. Chee, P.C. Liu, and L.Siek, “High-speed hybrid current-mode sense amplifier for CMOS SRAMs”, Electronics Letters, vlo. 28, issue. 9, pp. 871 – 873, Apr. 1992
[16] P. Y. Chee, P. C. Liu, L. Siek, “A high-speed current-mode sense-amplifier for [CMOS SRAM's]”, Circuits and Systems, Proceedings of the 35th Midwest Symposium, vol. 1, pp. 620 - 622, Aug. 1992.
[17] K. Agawa, H. Hara, T. Takayanagi, and T. Kuroda, “A bitline leakage compensation scheme for low-voltage SRAMs”, IEEE J. Solid-State Circuits, vol. 36, no. 5 , May. 2001.
[18] B. Wicht, S. Paul, and D. Schmitt-Landsidel, “Analysis and compensation of the bitline multiplexer in SRAM current sense amplifier“, IEEE J. Solid-State Circuits, vol. 36, no. 11, Nov. 2001.
電子全文 Fulltext
本電子全文僅授權使用者為學術研究之目的,進行個人非營利性質之檢索、閱讀、列印。請遵守中華民國著作權法之相關規定,切勿任意重製、散佈、改作、轉貼、播送,以免觸法。
論文使用權限 Thesis access permission:校內校外完全公開 unrestricted
開放時間 Available:
校內 Campus: 已公開 available
校外 Off-campus: 已公開 available


紙本論文 Printed copies
紙本論文的公開資訊在102學年度以後相對較為完整。如果需要查詢101學年度以前的紙本論文公開資訊,請聯繫圖資處紙本論文服務櫃台。如有不便之處敬請見諒。
開放時間 available 已公開 available

QR Code