Responsive image
博碩士論文 etd-0820107-003959 詳細資訊
Title page for etd-0820107-003959
論文名稱
Title
單晶片超多純量處理器之ESL模型設計
ESL Model of the Hyper-scalar Processor on a Chip
系所名稱
Department
畢業學年期
Year, semester
語文別
Language
學位類別
Degree
頁數
Number of pages
78
研究生
Author
指導教授
Advisor
召集委員
Convenor
口試委員
Advisory Committee
口試日期
Date of Exam
2007-07-13
繳交日期
Date of Submission
2007-08-20
關鍵字
Keywords
多核心架構、資料流導向、電子系統層級
Data-Driven, Multi-Core, ESL
統計
Statistics
本論文已被瀏覽 5685 次,被下載 2034
The thesis/dissertation has been browsed 5685 times, has been downloaded 2034 times.
中文摘要
隨著微處理器系統的演進,單核心處理器系統所能增進的運算效率似乎已經達到了一個瓶頸,因此強調高效率的處理器逐漸傾向多核心的系統架構設計,再加上VLSI 製程技術的發展快速,Chip Multiprocessors 已不再遙不可及。
因此本論文提出單晶片多核心的超多純量(Hyper-scalar)系統架構,綜合超純量與多執行緒處理器架構,可多核心增進單一執行緒效能並支援多執行緒並行運算。系統可透過新增指令動態分配執行緒之核心群組數量,且利用虛擬共享暫存器的機制,解決各執行緒在多核心處理器內執行時指令間資料相依問題。
此虛擬共享暫存器機制可以讓各核心透過資料交換路徑至其他核心取得暫存器之內容值,依資料驅動的執行理念,待所有的運算元皆備妥後,指令才進行運算,因此相同的程式碼可以被派至不同數量之核心架構運算,而不需要額外的程式編譯動作,可以有效增進系統的運算效能且程式分派具有高度彈性。
而在效能評估方面,則利用SystemC 語言進行ESL 模型撰寫,提供硬體導向的模擬環境,並以MediaBench suite 為評估程式進行功能之驗証與效能之評估模擬。平均而言,超多純量系統架構在2 ~ 8 個核心架構中,可以獲得1.3 至2 倍的效能提升。
Abstract
This paper proposed a scalable chip multiprocessor architecture, which is called Hyper-scalar combined with the concept of superscalar and multithreaded architecture; hence, this architecture can enhance single-threaded performance by using core group and also supports multithreaded applications. System programmer can dynamically allocate the core groups to accelerate a single thread by extended system instructions. In order to solve the data dependence between all issued instructions the virtual shared register file is proposed.
This mechanism allows the data in local register files to be accessed from other cores through the data switching path hardware and the instructions are executed only when the operands are available. The instructions within a single-threaded application can be dispatched to variable cores without re-compilation. This execution paradigm accelerates the single-threaded performance more flexibly.
In the case of simulation and experimental framework, the ESL Model written in SystemC, a modeling language based on C++ is to provide hardware-oriented simulation platform and the MediaBench suite is selected for the experiments. On average, the Hyper-scalar architecture can accelerate single-threaded performance by 30% to 110% using 2 ~ 8 cores.
目次 Table of Contents
摘要....................................................................................... i
ABSTRACT .........................................................................ii
目錄..................................................................................... iv
圖片列表............................................................................. vi
表格列表...........................................................................viii
第一章 簡介........................................................................ 1
1-1 研究動機.......................................................................1
1-2 研究目標.......................................................................2
1-3 論文架構.......................................................................3
第二章 相關研究................................................................ 4
2-1 單一核心架構介紹.......................................................4
2-2 目前多核心處理器架構...............................................5
2-2-1 多核心增進多執行緒效能之架構............................7
2-2-2 多核心增進單執行緒效能之架構............................7
2-3 資料驅動運行機制.....................................................14
2-4 超多純量系統架構之設計概念.................................16
第三章 超多純量系統架構設計...................................... 20
3-1 超多純量系統架構.....................................................20
3-2 多核心系統操作模式.................................................24
3-2-1 處理器群組方式.....................................................24
3-2-2 新增之系統指令.....................................................25
3-3 指令分析器設計.........................................................26
3-4 資訊處理單元設計.....................................................32
3-4-1 Data Stage.............................................................33
3-4-2 Commit Stage ......................................................38
3-5 虛擬共享暫存器設計.................................................39
3-5-1 VSRF Node 基本架構...........................................40
3-5-2 VSRF Switch 基本架構.........................................42
第四章 超多純量模型設計.............................................. 44
4-1 電子系統層級設計.....................................................44
4-2 單核心之ESL 模型設計............................................45
4-3 超多純量之ESL 模型與模擬環境............................50
第五章 驗証與模擬.......................................................... 53
5-1 模擬環境設定.............................................................53
5-1-1 效能評估方模擬方法.............................................53
5-1-2 效能評估程式.........................................................55
5-2 多核心架構與效能增進之關係.................................57
5-3 多核心架構與記憶體存取延遲時間之關係.............58
5-4 虛擬共享暫存器架構與效能增進之關係.................59
第六章 結論...................................................................... 62
參考文獻........................................................................... 64
參考文獻 References
[1]. John L. Hennessy, David A. Patterson, Computer Architecture : A Quantitative Approach, 3rd ed., Morgan Kaufmann, 2003
[2]. R. Kalla, Balaram Sinharoy, J.M. Tendler, “IBM Power5 Chip: A Dual-Core Multithread Processor”, IEEE Micro, vol. 24, No. 2, pp. 40 – 47, March/April 2004
[3]. T. Takayanagi, J. L. Shin, B. Petrick, J. Y. Su, H. Levy, Ha Pham; J. Son, N. Moon, D. Bistry, U. Nair, M. Singh, V. Mathur, A. S. Leon, “A dual-core 64-bit ultraSPARC microprocessor for dense server applications”, IEEE Journal of Solid-State Circuits, vol. 40, pp. 7-18, Jan. 2005
[4]. L Peng, JK Peir, TK Prakash, YK Chen, D Koppelman, “Memory Performance and Scalability of Intel's and AMD's Dual-Core Processors: A Case Study”, Performance, Computing, and Communications Conference, IPCCC, IEEE Internationa April 2007, pp. 55 - 64
[5]. Z. Purser, K. Sundaramoorthy, and E. Rotenberg, “A study of Slipstream Processors”. Proceedings of the 33rd annual ACM/IEEE international, 2000, pp. 269 - 280
[6]. K. Sundaramoorthy, Z. Purser, and E. Rotenberg. “Slipstream processor: improving both performance and fault tolerance”, ACM SIGPLAN Notices, vol 35, pp. 257 – 268, 2000
[7]. KZ Ibrahim, GT Byrd and E. Rotenberg, “Slipstream execution mode for CMP-based multiprocessors”, High-Performance Computer Architecture, 2003. HPCA-9 2003, pp. 179- 190
[8]. ST Srinivasan, H Akkary, T Holman, K Lai., “A minimal dual-core speculative multi-threading architecture”, Computer Design: VLSI in Computers and Processors, 2004. ICCD 2004. Proceedings. IEEE International Conference 2004, pp. 360-367
[9]. L Wang, CL Wu, “Distributed Instruction Set Computer Architecture”, IEEE Transactions on Computers, 1991, vol. 40, pp. 915-934
[10]. GS Sohi, SE Breach, TN Vijaykumar, “Multiscalar Processor”, 22nd Annual International Symposium on Computer Architecture, 1995, pp. 414- 425
[11]. M. Franklin, “The Multiscalar Architecture” Ph.D. Thesis, Computer Science Technical Report #1196,
[12]. H Zhou, “Dual-core execution: building a highly scalable single-thread instruction window”, 14th International Conference on Parallel Architectures and Compilation Techniques (PACT'05), pp. 231-242
[13]. Congy J. ; Hany G. ; Jagannathan A. ; Reinmany G. ; Rutkowski K. ; “Accelerating Sequential Applications on CMPs Using Core Spilling”, IEEE Transactions On Parallel and Distributed Systems Accepted for future publication, 2007
[14]. JC Chiu, YL Chou, PK Chen, “A Superscalar Dual-Core Architecture for ARM ISA”, Proceedings of the International Computer Symposium 2006, pp. 21-26, Dec. 2006
[15]. T Shimada, “On new generation dataflow architecture”, Design and Application of Parallel Digital Processors, 1988., International Specialist Seminar on the 11-15 Apr 1988 Page(s):112 – 115
[16]. B Lee, AR Hurson, “Dataflow architectures and multithreading”, Computer Volume 27, Issue 8, Aug. 1994 Page(s):27 – 39
[17]. JE Smith, GS Sohi “The microarchitecture of superscalar processors”, Proceedings of the IEEE Vol. 83, Issue 12, Dec. 1995 pp. 1609 – 1624
[18]. B Bishop, TP Kelliher, MJ Irwin, “A detailed analysis of MediaBench”; Signal Processing Systems, 1999. SiPS 99. 1999 IEEE Workshop, 20-22 Oct. 1999 pp. 448 – 455
[19]. C Lee, M Potkonjak, WH Mangione-Smith “MediaBench: a tool for evaluating and synthesizing multimedia and communications systems”; Microarchitecture, 1997. Proceedings. Thirtieth Annual IEEE/ACM International Symposium on Publication Date: 1-3 Dec 1997, pp. 330-335
電子全文 Fulltext
本電子全文僅授權使用者為學術研究之目的,進行個人非營利性質之檢索、閱讀、列印。請遵守中華民國著作權法之相關規定,切勿任意重製、散佈、改作、轉貼、播送,以免觸法。
論文使用權限 Thesis access permission:校內校外完全公開 unrestricted
開放時間 Available:
校內 Campus: 已公開 available
校外 Off-campus: 已公開 available


紙本論文 Printed copies
紙本論文的公開資訊在102學年度以後相對較為完整。如果需要查詢101學年度以前的紙本論文公開資訊,請聯繫圖資處紙本論文服務櫃台。如有不便之處敬請見諒。
開放時間 available 已公開 available

QR Code