Responsive image
博碩士論文 etd-0821105-231417 詳細資訊
Title page for etd-0821105-231417
論文名稱
Title
支援超純量之ARM9指令集的雙核心架構
A Superscalar Dual Core Architecture for ARM9 ISA
系所名稱
Department
畢業學年期
Year, semester
語文別
Language
學位類別
Degree
頁數
Number of pages
77
研究生
Author
指導教授
Advisor
召集委員
Convenor
口試委員
Advisory Committee
口試日期
Date of Exam
2005-07-08
繳交日期
Date of Submission
2005-08-21
關鍵字
Keywords
雙核心、超純量
dual_code, superscalar, ARM9
統計
Statistics
本論文已被瀏覽 5662 次,被下載 2869
The thesis/dissertation has been browsed 5662 times, has been downloaded 2869 times.
中文摘要
在現今嵌入式處理器的設計中,除了注重在低功率消耗與降低設計的複雜度外,高效能也是必須的。由於製程技術的進步,藉著整合多個核心到單一顆處理器中,以成為增進效能的新趨勢。在本論文中以嵌入式處理器設計為考量,選擇了五階管線的ARM9處理器為核心,提出了一個超純量的雙核心架構。處理器設計了三種執行模式:單核心模式(single-core mode),超純量模式(superscalar mode)與多執行緒模式(multithreading mode)。利用新增的指令,讓雙核心處理器在程式執行時,能動態的從超純量執行切換成執行兩個並行的執行緒,建立了在程式內執行多執行緒的機制,是此雙核心處理器的一大特色,突破了傳統多處理器以只能執行程式間多執行緒的限制。而處理器在超純量模式下,有對作業環境不會有所影響與維持原來程式不用重新編譯的特性,讓此雙核心處理器也可直接用於已經開發完成的單核心處理器系統中,設計者不用因為處理器的替換而重新改寫已完成的程式。根據模擬的結果顯示,在處理器以超純量模式執行時,與單核心ARM9處理器比較,以在執行MPEG2的解碼程式最高有52%效能增進,其多媒體效能評估程式平均效能增進為41%,可以有效的提升ARM9處理器執行多媒體應用程式的效能。
Abstract
In the design of the recently embedded processor, besides paying attention to low power consumption and reducing the complexity of the design, it is also necessary to be with high performance. Because of the advanced logic CMOS technology, incorporated multiple processor cores on a single chip becomes the new trend which improve performance. This thesis, being designed for the embedded processor, presents a superscalar dual core architecture for ARM9 ISA. The processor provides three operation modes: single-core mode, superscalar mode, and multithreading mode for designer. The processor can be switched dynamically in three mode with the new extended instructions, when it is executing the program. When the processor is executing in superscalar mode, the designer can use the processor without changing any setting of the original environment. According our simulation result, the superscalar dual core architecture can obtain 52% performance speedup when it execute the trace of MPEG2 decoder and obtain average 41% performance speedup comparing to the five-stage pipelined ARM9 architecture.
目次 Table of Contents
目錄
中文摘要 I
英文摘要 III
目錄 V
圖片列表 VII
表格列表 IX
第一章 簡介 1
1.1 研究動機 1
1.2 研究目標 2
1.3 論文架構 3
第二章 相關研究 4
2.1 單晶片多處理器 4
2.2 超純量處理器 7
2.2.1 靜態排程超純量處理器 8
2.2.2 動態排程超純量處理器 8
2.2.3 預先執行超純量處理器 10
第三章 支援超純量之ARM9指令集的雙核心架構設計 12
3.1 ARM9五階指令管線設計 12
3.2 支援超純量之ARM9指令集的雙核心架構 14
3.3 暫存器設計 15
3.3.1 單核心ARM9五階指令管線暫存器設計 15
3.3.2 雙核心架構戰存器設計 17
3.4 新增指令與範例程式 19
3.4.1 新增指令 19
3.4.2 指令格式 19
3.4.3 範例程式 20
3.5 雙核心處理器操作模式 25
3.5.1 單核心模式 25
3.5.2 超純量模式 25
3.5.3 多執行緒模式 28
3.6 中斷控制架構 30
3.6.1 單核心ARM9五階指令管線中斷控制 30
3.6.2 雙核心中斷控制 40
3.7 指令分配控制器 45
3.7.1 控制處理器模式的轉換 45
3.7.2 新增指令的預先解碼 47
3.7.3 指令的分派機制 47
第四章 模擬與分析 56
4.1 架構模擬 56
4.1.1 模擬環境 56
4.1.2 模擬器架構 57
4.1.3 效能評估程式 59
4.2 模擬結果與討論 60
第五章 結論 62
參考文獻 63
參考文獻 References
[1] Microprocessors for the new millennium :Challenges,
opportunities, and new frontiers
[2]HiBRID-SoC: a multi-core system-on-chip architecture for
multimedia signal processing applications
Stolberg, H.-J.; Berekovic, M.; Friebe, L.; Moch, S.; Flugel, S.; Xun
Mao; Kulaczewski, M.B.; Klussmann, H.; Pirsch, P.;
Design, Automation and Test in Europe Conference and Exhibition,
2003 Page(s):8 - 13 suppl.
[3] A minimal dual-core speculative multi-threading architecture
Srinivasan, S.T.; Akkary, H.; Holman, T.; Lai, K.;
Computer Design: VLSI in Computers and Processors, 2004. ICCD
2004. Proceedings. IEEE International Conference on 11-13 Oct.
2004 Page(s):360 - 367
[4] Single-ISA heterogeneous multi-core architectures: the potential for
processor power reduction Kumar, R.; Farkas, K.I.; Jouppi, N.P.;
Ranganathan, P.; Tullsen, D.M.;Microarchitecture, 2003. MICRO-36.
Proceedings. 36th Annual IEEE/ACM International Symposium on
2003 Page(s):81 – 92
[5] A dual-core 64-bit ultraSPARC microprocessor for dense server
Applications Takayanagi, T.; Shin, J.L.; Petrick, B.; Su, J.Y.; Levy, H.;
Ha Pham; Son, J.; Moon, N.; Bistry, D.; Nair, U.; Singh, M.; Mathur,
V.; Leon, A.S.; Solid-State Circuits, IEEE Journal of
Volume 40, Issue 1, Jan 2005 Page(s):7 - 18


[6] IBM Power5 chip: a dual-core multithreaded processor
Kalla, R.; Balaram Sinharoy; Tendler, J.M.;
Micro, IEEE Volume 24, Issue 2, Mar-Apr 2004 Page(s):40 - 47
[7] The first MAJC microprocessor: a dual CPU system-on-a-chip
Kowalczyk, A.; Adler, V.; Amir, C.; Chiu, F.; Choon Ping Chng; De
Lange, W.J.; Yuefei Ge; Ghosh, S.; Tan Canh Hoang; Baoqing
Huang; Kant, S.; Kao, Y.S.; Cong Khieu; Kumar, S.; Lan Lee;
Liebermensch, A.; Xin Liu; Malur, N.G.; Martin, A.A.; Ngo, H.;
Sung-Hun Oh; Orginos, I.; Shih, L.; Sur, B.; Tremblay, M.; Tzeng,A.;
Vo, D.; Zambere, S.; Jin Zong; Solid-State Circuits, IEEE Journal of
Volume 36, Issue 11, Nov. 2001 Page(s):1609 - 1616
[8] The MAJC architecture: a synthesis of parallelism and scalability
Tremblay, M.; Chan, J.; Chaudhry, S.; Conigliam, A.W.; Tse, S.S.;
Micro, IEEE Volume 20, Issue 6, Nov.-Dec. 2000 Page(s):12 - 25
[9] The Stanford Hydra CMP
Hammond, L.; Hubbert, B.A.; Siu, M.; Prabhu, M.K.; Chen, M.;
Olukolun, K.; Micro, IEEE Volume 20, Issue 2, March-April 2000
Page(s):71 - 84
[10] A 600-MHz single-chip multiprocessor with 4.8-GB/s internal
shared pipelined bus and 512-kB internal memory
Kaneko, S.; Kondo, H.; Masui, N.; Ishimi, K.; Itou, T.; Satou, M.;
Okumura, N.; Takata, Y.; Takata, H.; Sakugawa, M.; Higuchi, T.;
Ohtani, S.; Sakamoto, K.; Ishikawa, N.; Nakajima, M.; Iwata, S.;
Hayase, K.; Nakano, S.; Nakazawa, S.; Yamada, K.; Shimizu, T.;
Solid-State Circuits, IEEE Journal of Volume 39, Issue 1, Jan.
2004 Page(s):184 - 193
[11] T. Shimizu, J. Korematu, M. Satou, H. Kondo, S. Iwata,
K. Sawai, N.Okumura, K. Ishimi, Y. Nakamoto, M. Kumanoya, K.
Dosaka, A. Yamazaki,Y. Ajioka, H. Tsubota, Y. Nunomura,
T. Urabe, J. Hinata, and K.Saitoh, “A multimedia 32 b RISC
microprocessor with 16 Mb DRAM,” in IEEE Int.
Solid-State Circuits Conf. Dig. Tech. Papers, Feb. 1996,
pp.216–217.
[12] J. E. Thornton, “Parallel operation in the Control Data 6600,”
Proceedings of Spring Joint Computer Conference, 1964.
[13] D. W. Anderson, F. J. Sparacio, and R. M. Tomasulo, “The
IBM System/360 model 91: Machine philosophy and
instruction-handling,” IBM Journal of Research and
Development, vol. 11, pp. 8–24, 1967.
[14]”Arm Architecture Reference Manual” Page:A3-2
[15] Chunho Lee, Potkonjak et al. “MediaBench: a tool for evaluating and synthesizing multimedia and communications systems”, Microarchitecture, Thirtieth Annual IEEE/ACM International Symposium on, pp. 330 -335, December 1997
[16] Bishop, B., Kelliher, T.P. et al., “A detailed analysis of MediaBench,” Signal Processing Systems, IEEE Workshop on, pp. 448-455, 1999
電子全文 Fulltext
本電子全文僅授權使用者為學術研究之目的,進行個人非營利性質之檢索、閱讀、列印。請遵守中華民國著作權法之相關規定,切勿任意重製、散佈、改作、轉貼、播送,以免觸法。
論文使用權限 Thesis access permission:校內外都一年後公開 withheld
開放時間 Available:
校內 Campus: 已公開 available
校外 Off-campus: 已公開 available


紙本論文 Printed copies
紙本論文的公開資訊在102學年度以後相對較為完整。如果需要查詢101學年度以前的紙本論文公開資訊,請聯繫圖資處紙本論文服務櫃台。如有不便之處敬請見諒。
開放時間 available 已公開 available

QR Code