Responsive image
博碩士論文 etd-0830110-152704 詳細資訊
Title page for etd-0830110-152704
論文名稱
Title
混合互補式金氧半導體及開關電晶體標準元件庫設計
Design of Hybrid CMOS/Pass-Transistor-Logic Standard Cell Library
系所名稱
Department
畢業學年期
Year, semester
語文別
Language
學位類別
Degree
頁數
Number of pages
76
研究生
Author
指導教授
Advisor
召集委員
Convenor
口試委員
Advisory Committee
口試日期
Date of Exam
2010-07-14
繳交日期
Date of Submission
2010-08-30
關鍵字
Keywords
特殊積體電路標準元件設計流程、標準元件庫、邏輯合成器、開關電晶體邏輯、互補式金氧半導體(CMOS)邏輯
CMOS logic, Logic Synthesizer, Standard Cell Library, ASIC Design Flow, Pass-Transition-Logic(PTL)
統計
Statistics
本論文已被瀏覽 5759 次,被下載 4896
The thesis/dissertation has been browsed 5759 times, has been downloaded 4896 times.
中文摘要
目前主流的數位VLSI設計和邏輯電路合成軟體,都是以互補式金氧半導體(CMOS)邏輯為主,然而在許多應用中,以開關電晶體邏輯(Pass-Transistor Logic, PTL)設計為主。增加某些電路(如XOR)之速度、降低電路消耗功率、縮小電路面積為開關電晶體邏輯的主要優點。雖然PTL已達良好的效能,但目前的電路設計自動化軟體工具還是以CMOS電路為主,沒使用PTL電路的原因是沒有適合且簡單的標準元件庫來提供給設計者設計。本篇論文將提出能自動產生不同驅動能力和不同門檻電壓的標準元件庫並使用新的PTL電路合成器設計(混合PTL/CMOS電路元件)來達到面積、速度及功率等方面更好的結果。PTL只需要少數幾種基本電路元件設計和佈局,就能合成所有的電路,因此很適合在製程技術日新月異的奈米時代用來合成單晶片系統(System-on-a-Chip, SoC)。我們所提的PTL邏輯合成器使用Synopsys 設計編譯器 (Design Compiler, DC),利用標準元件庫的邏輯元件來進行邏輯轉換以及製程對應 (technology mapping),因此更能有效的嵌入在特殊積體電路標準元件設計流程 (ASIC cell-based design flow)。
Abstract
The mainstream of current VLSI design and logic synthesis is based on traditional CMOS logic circuits. However, various new logic circuit design styles based on pass-transistor logic (PTL) have been proposed. The advantage of PTL is higher speed, smaller area and lower power for some particular circuits such as XOR. Since most current automatic logic synthesis tools (such as Synopsys Design Compiler) are based on conventional CMOS standard cell library, the corresponding logic minimization for CMOS logic cannot be directly employed to generate efficient PTL circuits. In this thesis, we develop a novel PTL synthesizer that can efficiently generate PTL-based circuits. We proposed a new synthesis method (hybrid PTL/CMOS Library design) that has multiple driving strengths and multiple threshold voltages to achieve better performance in area, speed, and power. Since PTL-based circuits are constructed by only a few basic PTL cells, the layouts in PTL cells can be easily updated as the process technology migrates rapidly in current Nano technology era. The proposed PTL logic synthesis flow employs the popular Synopsys Design Compiler (DC) to perform logic translation and minimization based on the standard cell library composed of PTL and CMOS logic cells. Thus, the PTL design flow can be easily embedded in the standard cell-based ASIC design flow.
目次 Table of Contents
第1章 概論(Introduction) 1
第2章 研究背景與相關研究(Survey of Related Works) 6
2.1 PTL電路設計 6
2.1.1 先前的PTL電路 6
2.1.2 PTL vs. CMOS 10
2.2 單純PTL合成 10
2.3 混合 PTL/CMOS 合成 12
2.4 Benchmark circuits 13
第3章 標準元件庫的建立(Construct Standard Cell Library) 14
3.1 標準元件庫的一般格式 14
3.1.1 Library檔案的介紹 15
3.1.2 delay的分類 18
3.1.3 查表法介紹 21
3.2 Synopsys library Model與 Verilog Model建立 21
3.3 Place & Route Model建立 24
3.3.1 Layout Rules 24
3.3.2 多種門檻電壓佈局 27
3.4 訂定一倍驅動能力的寬度 27
第4章 多階PTL元件在混合互補式金氧半導體及開關電晶體元件庫設計(Hybrid PTL/CMOS Library Design with Multi-Level PTL Logic) 30
4.1 新的混合PTL/CMOS邏輯合成流程 30
4.2 PTL基本元件 32
4.3 PTL邏輯元件 33
4.3.1 一階邏輯元件 33
4.3.2 多階邏輯元件 37
4.4 改良PTL邏輯元件的方法 39
4.5 不同驅動能力設計 41
4.6 多種門檻電壓電晶體設計 43
第5章 比較與結果(Comparison and Result) 45
5.1 PTL元件與CMOS元件之比較 45
5.1.1 PTL元件與CMOS元件在一倍驅動能力下之比較 45
5.1.2 PTL元件與CMOS元件在多倍(一、二與四倍)驅動能力之比較 47
5.2 PTL元件與Faraday元件之比較 48
5.2.1 一倍驅動能力PTL元件與Faraday元件之比較 49
5.2.2 多倍(一、二與四倍)驅動能力PTL元件與Faraday元件之比較 50
5.2.3 多門檻電壓的PTL元件與Faraday元件之比較 57
第6章 結論與未來展望(Conclusions and Feature Work) 62
6.1 結論 62
6.2 未來展望 62
參考文獻 63
參考文獻 References
[1] N.-H.-E. Weste and D. Harris, CMOS VLSI Design, A Circuits and Systems Perspective, Third Edition, Addison-Wesley Publisher, 2005, ISBN: 0-321-26977-2.
[2] C.-Y. Wu, J.-S. Wang and M.-K. Tsai, “The analysis and design if CMOS multidrain logic and stacked multidrain logic,” IEEE Journal of Solid-State Circuits (JSSC), Vol.SC-22, No.1, pp.47-56, Feb. 1987.
[3] I.-S. Abu-Khater, A. Bellaouar and M.-I. Elmasry, ”Circuit Techniques for CMOS Low-Power High-Performance Multipliers,” IEEE Journal of Solid-State Circuits (JSSC), Vol.31, No.10, pp.1535-1546, Oct. 1996.
[4] L. Heller, W. Griffin, J. Davis and N. Thoma, “Cascode Voltage Switch Logic:A Differential CMOS Logic Family,” Proc. IEEE Intl. Conf. on Solid-State Circuit, Vol.34, pp.16-17, Feb. 1984.
[5] R.-H. Krambeck, C.-M. Lee and H.-S. Law, ”High-Speed Compact Circuits with CMOS,” IEEE Journal of Solid-State Circuits (JSSC), Vol. SC-17, pp.614-619, June 1982.
[6] A. Jaekel, S. Bandyopadhyay and G.-A. Jullien, ”Design of Dynamic Pass-Transistor Logic Circuits Using 123 Decision Diagrams,” IEEE Trans. on Circuits and Systems I, Vol. 45, No. 11, pp. 1172 –1181, Nov. 1998.
[7] S. Mutoh, T. Douseki, Y. Matsuya, T. Aoki, S. Shigematsu and J. Yamada, “1-V Power Supply High-Speed Digital Circuit Technology with Multithreshold-Voltage CMOS,” IEEE Journal of Solid-State Circuits(JSSC), Vol.30, No.8, pp.847-854, Aug. 1995.
[8] J.-H. Pasternak and C.-A.-T. Salama, ”Differential Pass-Transistor Logic,” IEEE Circuits and Devices Magazine, Vol. 9, No. 4, pp.23-28, July 1993.
[9] A. Parameswar, H. Hara and T. Sakurai, “A Swing Restored Pass-Transistor Logic-Based Multiply and Accumulate Circuit for Multimedia Applications,” IEEE Journal of Solid-State Circuits (JSSC), Vol. 31, No. 6, pp. 804-809, June 1996.
[10] F.-S. Lai and W. Hwang, “Design and Implementation of Differential Cascode Voltage Switch with Pass-Gate (DCVSPG) Logic for High-Performance Digital Systems,” IEEE Journal of Solid-State Circuits (JSSC), Vol. 32, No. 4, pp. 563-573, Apr. 1997.
[11] T.-S. Cheung and K. Asada, “Regenerative pass transistor logic: A circuit technique for high speed digital design, “IEICE Trans. Electron., E79-C(9):1274–1283, 1996.
[12] K. Yano, T. Yamanaka, T. Nishida, M. Saito, K. Shimohigashi and A. Shimizu, “A 3.8-ns CMOS 16x16-b Multiplier Using Complementary Pass-Transistor Logic,” IEEE Journal of Solid-state Circuits (JSSC), Vol. 25, No. 2, pp. 388-395, Apr. 1990.
[13] M. Suzuki, N. Ohkubo, T. Shinbo, T. Yamanaka, A. Shimizu, K. Sasaki and Y. Nakagome, ”A 1.5 ns 32 b CMOS ALU in Double Pass-Transistor Logic,” IEEE Journal of Solid-State Circuits (JSSC), Vol.28, No.11, pp.1145-1150, Nov. 1993.
[14] P. Buch, A. Narayan, A.-R. Newton and A.-L. Sangiovanni-Vincentelli, “Logic synthesis for large pass transistor circuits,” Proc. IEEE Intl. Conf. on CAD (ICCAD), pp. 663–670, 1997.
[15] M. Yano, Y. Sasaki, K. Rikino and K. Seki, “Top-down Pass-Transistor Logic Design,” IEEE Journal of Solid-State Circuits (JSSC), Vol.31, No. 6, pp.792-803, June 1996.
[16] R.-S. Shelar and S.-S. Sapatnekar, “BDD Decomposition for Delay Oriented Pass Transistor Logic Synthesis”, IEEE Trans. VLSI Systems, Vol. 13, No. 8, pp. 957-970, Aug. 2005.
[17] S. Goel, A. Kumar and M.-A. Bayoumi, ”Design of Robust, Energy-Efficient Full Adders for Deep-Submicrometer Design Using Hybrid-CMOS Logic Style”, IEEE Trans. VLSI Systems, Vol. 14, No. 12, pp. 1309-1321, Dec. 2006.
[18] S. Agarwal, V.-K. Pavankumar and R. Yokesh, “Energy-Efficient, High Performance Circuits for Arithmetic Units”, Proc. IEEE Intl. Conf. on VLSI Design, pp. 371-376, 2008.
[19] J.-F. Lin, Y.-T. Hwang, M.-H. Sheu and C.-C. Ho, “A Novel High-Speed and Energy Efficient 10-Transistor Full Adder Design”, IEEE Trans. Circuits and Systems I, Vol. 54, No. 5, pp.1050-1059, May 2007.
[20] R. Zimmermann and W. Fichtner, “Low Power Logic Styles: CMOS versus Pass-transistor Logic,” IEEE Journal of Solid-State Circuits (JSSC), Vol. 32, No. 7, pp. 1079-1089, July 1997.
[21] C. Scholl and B. Becker, “On the generation of multiplexer circuits for pass transistor logic,” Proc. Design, Automation and Test in Europe Conference and Exhibition, pp. 372 – 378, 2000.
[22] G.-R. Cho and T. Chen, “Synthesis of Single/Dual-Rail Mixed PTL/Static Logic for Low-Power Applications,” IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, Vol. 23, No. 2, pp. 229-242, Feb. 2004
[23] S.-B. Akers, “Binary Decision Diagram,” IEEE Trans. Computers, vol. C-27, No. 6, pp. 509-516, June 1978.
[24] M. Song, G. Kang, S. kim and B. Kang, “Design methodology for high speed and low power digital circuits with energy economized pass-transistor logic (EEPL),” Proc. 22nd European Conf. on Solid-State Circuits, pp.120-123, 1996.
[25] W. Paik, H. Ki and S. Kim, “Push-pull pass-transistor logic family for low voltage and low power,” Proc. 22nd European Conf. on Solid-State Circuits, pp.116-119, 1996
[26] T.-H. Liu, A. Aziz and J.-L. Burns, “Performance driven synthesis for pass-transistor logic,” Proc. Intl. Workshop on Logic Synth., pp. 255–259, 1998.
[27] R. Chaudhry, T.-H. Liu, A. Aziz and J.-L. Burns, “Area oriented synthesis for pass-transistor logic,” Proc. Intl. Conf. on Comp. Design, pp. 160–167, 1998.
[28] P. Lindren, M. Kerttu, M. Thornton and R. Drechsler, “Low Power Optimization Technique for BDD Mapped Circuits,” Proc. Asia and South Pacific Conf. on Design Automation, pp.615-621, Feb. 2001.
[29] M. Avci and T. Yildirim, “ General design method for complement pass transistor logic circuits,” Electronics Letters, Vol. 39, No. 1, pp. 46-48, Jan. 2003.
[30] C. Yang and M. Ciesielski , “BDS: a BDD-based logic optimization system,” IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, Vol.21, No.7, pp. 866-876, July 2002.
[31] K. Yip and D. Al-Khalili, “Multilevel logic synthesis using hybrid pass logic and CMOS topologies,” Proc. IEE Conf. on Circuits, Devices and Systems, Vol. 150, no. 5, pp. 445-452, Oct. 2003.
[32] Y. Jiang, S.-S. Sapatnekar and C. Bamji, ”Technology Mapping for High-Performance Static CMOS and Pass Transistor Logic Designs,” IEEE Trans. on Very Large Scale Integration (VLSI) Systems, Vol.9, No.5, pp.577 –589, Oct. 2001.
[33] R.-S. Shelar and S.-S. Sapatnekar, “Recursive bipartitioning of BDDs for Performance Driven Synthesis of Pass Transistor Logic,” Proc. IEEE Intl. Conf. on CAD (ICCAD), pp. 449 – 452, Nov. 2001.
[34] Y. Sasaki, K. Yano, S. Yamashita, H. Chikata, K. Rikino, K. Uchiyama and K. Seki, “Multi-level pass-transistor logic for low-power ULSIs,” Proc. Intl. Symp. on Low power Electronics, pp. 14–15, Oct. 1995.
[35] S. Yanashita, K. Yano, Y. Sasaki, Y. Akita, H. Chikata, K. Rikino and K. Seki, “Pass-transistor/CMOS collaborated logic: The best of both worlds,” Proc. Symp. on VLSI Circuits, Digest Technical Papers, pp. 31–32, 1997.
[36] C. Yang and M. Ciesielski, “Synthesis for mixed CMOS/PTL logic,” Proc. Europe Conf. and Exhibition on Design, Automation and Test, pp.750, 2000.
[37] J.-D. Meindl, “Gigascale integration: Is the sky the limit?,” IEEE Circuits and Devices Magazine, Vol. 12, pp. 19–32, Nov. 1996.
[38] J.-M. Rabaey, Digital Integrated Circuits. Englewood Cliffs, NJ: Prentice-Hall, 1996.
[39] F. Brglez and H. Fujiwara, “A neutral netlist of 10 combinational benchmark circuits and a target translator in Fortran,” Proc. IEEE Intl. Symp. on Circuits and Systems, vol.21, no.12, pp. 695-698, June 1985.
[40] F. Brglez, D. Bryan and K. Kozminski, “Combinatorial Profiles of Sequential Benchmark Circuits,” Proc. IEEE Intl. Symp. on Circuits and Systems, vol.6, no.4, pp. 1929-1934, 1989.
[41] S. Yang, “Logic synthesis and optimization benchmarks user guide version 3.0,” Tech. Rep., Microelectronics Center of North Carolina, P. O. Box 12889, Research Triangle Park, NC 27709, 1991.
[42] E.-J. Marinissen, V. Iyengar and K. Chakrabarty, “A Set of Benchmarks for Modular Testing of SOCs,” Proc. of IEEE Intl. Conf. on Test (ITC'02), pp. 519-528, Oct. 2002.
[43] IEEE Standard for and Advanced Library Format (ALF) Describing Integrated Circuit (IC) Technology, Cells, and Blocks, IEEE standard 1603TM-2003,2004
[44] Synopsys Corporation, Library Compiler User Guide: Modeling Timing and Power, 2004
[45] Faraday’s 90 nm technology standard cell library guideline: 90nm GENERIC CORE P&R LayoutGuidev1.0
[46] Faraday’s 90 nm technology generic core databook: FSD0A_A Data Book
[47] Shen-Fu Hsiao, Ming-Yu Tsai and Chia-Sheng Wen,” Low Area/Power Synthesis Using Hybrid Pass Transistor/CMOS Logic Cells in Standard Cell-Based Design Environment,” Proc. of IEEE Circuit and Systems Society, vol. 57, no.1, pp. 21-25, 2009.
[48] Ming-Yu Tsai, “An Efficient Hybrid CMOS/PTL (Pass-Transistor-Logic) Synthesizer and Its Applications to the Design of Arithmetic Units and 3D Graphics Processors”Master thesis, Dept. of Computer Science and Engineering, National Sun Yat-Sen University, Oct. 2009.
電子全文 Fulltext
本電子全文僅授權使用者為學術研究之目的,進行個人非營利性質之檢索、閱讀、列印。請遵守中華民國著作權法之相關規定,切勿任意重製、散佈、改作、轉貼、播送,以免觸法。
論文使用權限 Thesis access permission:校內外都一年後公開 withheld
開放時間 Available:
校內 Campus: 已公開 available
校外 Off-campus: 已公開 available


紙本論文 Printed copies
紙本論文的公開資訊在102學年度以後相對較為完整。如果需要查詢101學年度以前的紙本論文公開資訊,請聯繫圖資處紙本論文服務櫃台。如有不便之處敬請見諒。
開放時間 available 已公開 available

QR Code