Responsive image
博碩士論文 etd-0831110-160513 詳細資訊
Title page for etd-0831110-160513
論文名稱
Title
混合式CORDIC處理器架構設計、分析及應用
Design, Analysis and Applications of Hybrid CORDIC Processor Architectures
系所名稱
Department
畢業學年期
Year, semester
語文別
Language
學位類別
Degree
頁數
Number of pages
145
研究生
Author
指導教授
Advisor
召集委員
Convenor
口試委員
Advisory Committee
口試日期
Date of Exam
2010-07-14
繳交日期
Date of Submission
2010-08-31
關鍵字
Keywords
算數處理器、泰勒展開式、座標軸數位旋轉計算器
Arithmetic Function Units, CORDIC, Taylor-series expansion
統計
Statistics
本論文已被瀏覽 5674 次,被下載 3363
The thesis/dissertation has been browsed 5674 times, has been downloaded 3363 times.
中文摘要
本論文針對傳統CORDIC在pipeline架構下電路延遲太長,和以查表為主的CORDIC架構面積太大的問題,提出了多種不同架構的組合,在相關研究中將CORDIC分成粗調級和微調級兩級執行的方法,我們另外提出三級執行的架構,使用傳統CORDIC、Rom/Multiplier架構和線性趨近三種方法組合出不同的硬體架構,並且對所提出的CORDIC架構在不同精準度下評估分析面積和電路延遲,方便往後針對不同精確度下CORDIC處理器設計,使用者可依照需求根據不同精準度選擇不同的架構。最後本論文也選擇其中的架構實作且比較實驗數據,並說明其應用。
Abstract
In this thesis, we propose different CORDIC architectures which solve the problems of long-latency in traditional pipeline CORDIC and the large-area cost in table-based CORDIC. The original table-based CORDIC can be divided into two stages, coarse stage and fine stage. We also propose the three-stage architectures, composed of traditional pipeline CORDIC, Rom/Multiplier architecture and linear approximation. Detailed analysis and estimation in area and latency of these different two-stage and three-stage architectures with different bit accuracy are given in order to determine the best architecture design for a particular precision. Finally, we choose one of the architectures to implement, compare the results, and show its applications.
目次 Table of Contents
第1章 導論 11
1.1 研究動機 11
1.2 論文架構 12
第2章 CORDIC演算法與相關研究 13
2.1 CORDIC 原理 13
2.2 Low Latency CORDIC相關研究 17
2.2.1 CORDIC架構改進 18
2.2.2 使用ROM/Multiplier架構實現CORDIC功能單元 22
第3章 CORDIC硬體架構設計分析及比較 32
3.1 二個與三個階段硬體架構介紹 33
3.2 十二種CORDIC硬體架構說明 37
3.2.1 Rotation mode 38
3.2.2 Vectoring mode 59
3.3 十二種CORDIC硬體架構比較 83
3.3.1 Rotation mode估算結果 84
3.3.2 Vectoring mode估算結果 87
3.4 六種合併Rotation和Vectoring mode的架構說明及比較 91
3.4.1 Unified 硬體架構說明 92
3.4.2 Unified 硬體架構估算結果 101
第4章 Hybrid CORDIC Processor硬體架構實作 105
4.1 誤差分析 105
4.1.1 Rotation mode 105
4.1.2 Vectoring mode 108
4.2 Rotation mode 硬體架構實作 114
4.3 Vectoring mode硬體架構實作 117
4.4 整合Rotation mode和Vectoring mode硬體架構實作 121
4.4.1 Unified 架構改良 121
4.4.2 Unified 架構驗證與實驗數據 126
第5章 Hybrid CORDIC Processor 之應用 131
5.1 Hybrid CORDIC Processor應用 131
5.2 C3RL unified架構擴展到Linear type 及Hyperbolic type 133
5.3 應用於3D Graphics 處理器 139
第6章 結論 140
參考文獻 141
參考文獻 References
[1]. J.E. Volder, “The CORDIC Trigonometric Computer Technique,” IRE Transactions. Electron. Computers, vol. EC-8, no. 3, pp. 330-334, Sept. 1959.
[2]. J.S. Walther, “A Unified Algorithm for Elementary Functions,” Proc. Spring Joint Compute. Conf. vol. 38. pp. 379-385, May 1971.
[3]. J. Lee and T. Lang, “Constant-factor redundant CORDIC for angle calculation and rotation,” IEEE Transactions on Computers, vol. 41, no. 8, pp. 1,016-1,035, Aug. 1992.
[4]. N. Takagi, T. Asada, and S. Yajima, “Redundant CORDIC methods with a constant scale factor for sine and cosine computation,” IEEE Transactions on Computers, vol. 40, no. 9, pp. 989-995, Sept. 1991
[5]. M. D. Ercegovac and T. Lang, “Redundant and on-line CORDIC:application to matrix triangularisation and SVD,” IEEE Transactions on Computers, vol. 38, no. 6, pp. 725-740, June 1990.
[6]. H. Dawid and H. Meyr, “The differential CORDIC algorithm_Constant scale factor redundant implementation without correcting iterations,” IEEE Transactions on Computers, vol. 45, no. 3, pp. 307-318, Mar. 1996.
[7]. J. D. Bruguera, E. Antelo, and E. L. Zapata, “Design of a pipelined Radix 4 CORDIC processor,” Journal of Parallel Computing, vol. 19, no. 7, pp. 729-744, 1993.
[8]. E. Antelo, J. Villalba, J. D. Bruguera, and E. L. Zapata, “High performance rotation architecture based on the radix-4 CORDIC algorithm,” IEEE Transactions on Computers, vol. 46, no. 8, pp. 855-870, Aug. 1997.
[9]. S.Wang, V. Piuri, and E. E. Swartzlander Jr., “Hybrid CORDIC algorithm,” IEEE Transactions on Computers, vol. 46, no. 11, pp. 1202-1207, Nov. 1997.
[10]. H. M. Ahmed, “Efficient Elementary Functions Generation with Multipliers,” Proc. 9th IEEE Symposium on Computer Arithmetic, pp. 52-59, Sept. 1989.
[11]. D. Timmermann, H. Hahn and B.J. Hosticka, “A Modified CORDIC Algorithm with Reduced Iterations,” Electronic Letters, vol 25, no 15, pp. 950–951, Jul. 1989.
[12]. E. Antelo, J. Villalba, and E. L. Zapata, “Low Latency Pipelined 2D and 3D CORDIC Processors,” IEEE Transactions on Computers, vol. 57, no. 3, pp. 404-417, Mar. 2008.
[13]. S.F. Hsiao, H.M. Lee, Y.C. Cheng, and M.Y. Tsai, “Efficient Designs of Floating-Point CORDIC Rotation and Vectoring Operations,” Proc. Asia Pacific Conference on Circuits And Systems, vol. 57, no. 3, pp. 1422-1425, Dec. 2008.
[14]. D. De Caro, N. Petra, and A. G. M. Strollo, “A 380 MHz direct digital synthesizer/mixer with hybrid CORDIC architecture in 0.25 um CMOS,” IEEE J. Solid-State Circuits, vol. 42, no. 1, pp. 151-160, Jan.2007
[15]. D. De Caro, N. Petra, and A. G. M. Strollo, “Digital Synthesizer/Mixer with Hybrid CORDIC-Multiplier Architecture: Error Analysis and Optimization”, IEEE Transactions on Circuits and Systems-I , vol. 56, no. 2, pp. 364-373, Feb. 2009
[16]. D. Fu and A. N. Willson, Jr., “A high-speed processor for direct digital sine/cosine generation and angle rotation,” Proc. 42nd Asilomar Conf. Signal, Systems and Computers, vol. 1, pp. 177-181, Nov. 1998.
[17]. D. Fu and A. N. Willson, Jr., “A 300-MHz quadrature direct digital synthesizer/mixer in 0.25-um CMOS,” IEEE J. Solid-State Circuits, vol. 38, no. 6, pp. 875-887, Jun. 2003.
[18]. D. Fu and A. N. Willson, Jr., “A Two-Stage Angle-Rotation Architecture and Its Error Analysis for Efficient Digital Mixer Implementation,”IEEE Transactions on Circuits and Systems-I, vol. 53, no. 3, pp. 604-614, Mar. 2006.
[19]. D. Fu and N. Willson, Jr., “A high-speed processor for digital for rectangular to polar conversion with applications in digital telecommunications,” Proc. IEEE Globecom, vol. 4, pp. 2172-2176, Dec. 1999.
[20]. D. D. Hwang, D. Fu, N. Willson, Jr., “A 400 Mhz processor for the conversion of rectangular to polar coordinates in 0.25 um CMOS,” IEEE Journal of Solid-State Circuits, vol. 38, no. 10, pp.1771-1775, Oct. 2003.
[21]. A. Madisetti, A. Y. Kwentus, and A. N.Willson, Jr., “A 100-MHz 16-b direct digital frequency synthesizer with a 100-dBc spurious-free dynamic range,” IEEE J. Solid-State Circuits, vol. 34, pp. 1399–1410, Aug. 1999.
[22]. A. G. M. Strollo, D. De Caro and N. Petra, “A 430 MHz, 280 mW Processor for the Conversion of Cartesian to Polar Coordinates in 0.25 μm CMOS,” IEEE Journal of Solid-State Circuits, vol. 43, no. 11, pp. 2503-2513, Nov. 2008.
[23]. S.-W. Lee, K.-S. Kwon, and I.-C. Park, “Pipelined cartesian-to-polar coordinate conversion based on SRT division,” IEEE Trans. Circuits Syst. II: Express Briefs, vol. 54, no. 8, pp. 680–684, Aug. 2007.
[24]. M.J. Schulte and E.E. Swartzlander, Jr., “Hardware designs for exactly rounded elementary functions,” IEEE Transactions on Computers, Vol. C-44, pp. 964–973, Aug. 1994.
[25]. D.D. Sarma and D.W. Matula, “Faithful bipartite ROM reciprocal tables,” Proceedings of the 12th Symposium on Computer Arithmetic, pp. 17–29, Jul. 1995.
[26]. A. G. M. Strollo, D. De Caro, and N. Petra, “A 630 MHz, 76 mW direct digital frequency synthesizer using enhanced ROM compression technique,” IEEE J. Solid-State Circuits, vol. 42, no. 2, pp. 350–360, Feb. 2007.
[27]. D. De Caro, N. Petra, A.G.M. Strollo, “Reducing Lookup-Table Size in Direct Digital Frequency Synthesizers Using Optimized Multipartite Table Method”, IEEE Transactions on Circuits and Systems-I, vol. 55, no. 3, pp. 2116-2127, Aug. 2008.
[28]. Y. C Cheng, “Table-Based Design of Arithmetic Function Units for Angle Rotation and Rectangular-to-Polar-Coordinate Conversion”Master thesis, Dept. of Computer Science and Engineering, National Sun Yat-Sen University, Aug. 2009.
[29]. T. Lang and E. Antelo, “High-Throughput CORDIC-Based Geometry Operations for 3D Computer Graphics,” IEEE Transactions on Computers, vol. 54, no. 3, pp.347-361, Mar. 2005.
[30]. T.-Y. Sung, Y.-S. Shieh, C.-W. Yu, and H.-C. Hsin, “A High-Efficiency Vector Interpolator Using Redundant CORDIC Arithmetic in Power-Aware 3-D Graphics Rendering,” PDCAT CNF, pp. 44-49, Dec. 2006.
[31]. J. Euh, J. Chittamuru, and W. Burleson, “CORDIC vector interpolator for power-aware 3D computer graphics,” IEEE Workshop on Signal Processing Systems, SIPS ’02, pp. 240-245, Oct. 2002.
[32]. R. Farivar, M. Fazeli, H. Sarbazi-Azad, “A cordic-based processor extension for scalar and vector processing,” Proc. on 19th IEEE Int. Conf. Parallel and Distributed Processing Symposium, pp. 7, Apr. 2005.
電子全文 Fulltext
本電子全文僅授權使用者為學術研究之目的,進行個人非營利性質之檢索、閱讀、列印。請遵守中華民國著作權法之相關規定,切勿任意重製、散佈、改作、轉貼、播送,以免觸法。
論文使用權限 Thesis access permission:校內校外完全公開 unrestricted
開放時間 Available:
校內 Campus: 已公開 available
校外 Off-campus: 已公開 available


紙本論文 Printed copies
紙本論文的公開資訊在102學年度以後相對較為完整。如果需要查詢101學年度以前的紙本論文公開資訊,請聯繫圖資處紙本論文服務櫃台。如有不便之處敬請見諒。
開放時間 available 已公開 available

QR Code