Responsive image
博碩士論文 etd-0903108-125651 詳細資訊
Title page for etd-0903108-125651
論文名稱
Title
低耗電記憶體產生器之設計與應用
Design and Applications of Low-Power Memory Generators
系所名稱
Department
畢業學年期
Year, semester
語文別
Language
學位類別
Degree
頁數
Number of pages
71
研究生
Author
指導教授
Advisor
召集委員
Convenor
口試委員
Advisory Committee
口試日期
Date of Exam
2008-06-13
繳交日期
Date of Submission
2008-09-03
關鍵字
Keywords
記憶體
Memory
統計
Statistics
本論文已被瀏覽 5698 次,被下載 0
The thesis/dissertation has been browsed 5698 times, has been downloaded 0 times.
中文摘要
科技日新月異,電子產品功能增加,消費族群大眾化,這樣的市場變革導致晶片系統的出現以及設計複雜度的提升。針對產品功能的增強與開發,高性能記憶元件在系統晶片的使用核心需求層面也持續增加。為了提高設計的效能,可重複使用的記憶體IP隨之成為設計者的首要考量。本論文的研究目的在於實作透明化記憶體產生器,以達成減少系統晶片電路設計的複雜度,進一步加速設計生產過程。本研究所探討記憶體產生器的設計原理是透過階層式的Word Line架構、多工器前端放大器設計、放大器區塊動作化,來做到快速和省電的要求。
將來也可利用論文之研究基礎更進一步分析常用應用記憶體電路的特性,如應用於快取記憶體產生器的Hard IP 設計,此設計可以降低儲存陣列的面積。快取記憶體的設計屬於混合式Soft IP/Hard IP,而快取記憶體的控制單元則屬於Soft IP。其他可能應用還包含:Register-File、FIFO、LIFO、Delay Elements等,目的在於設計出一個透明化的應用記憶體電路產生器,讓設計者能應用於更多元的不同需求。
Abstract
Memory unit has become a major core component in most SoC designs, and thus reusable memory IP is crucial in speeding up the design process. In this thesis, we develop a low-power SRAM generator to reduce the design efforts by producing all the files required in traditional cell-based design flow. Several methods are used to reduce power consumption in the memory circuits, including hierarchical word-line architecture and block amplifiers. The SRAM generator can be extended to generate cache memory with mixed hard IP and soft IP where cache memory cells are hard IP while the cache controller is soft IP. Based on the SRAM generator, we can also generate some popular memory units such as register files, FIFO, LIFO, and delay elements used in many applications.
目次 Table of Contents
1. 導論 12
1.1. 研究動機 12
1.2. 論文組織 13
2. 記憶體架構設計 14
2.1. 傳統記憶體架構設計 14
2.2. 以低功耗為目標的記憶體設計 16
2.3. 論文實作架構 19
3. 記憶體細胞元 24
3.1. 傳統記憶體細胞元設計 24
3.2. 特殊記憶體細胞元設計 25
3.2.1. 低功耗記憶體細胞元設計 25
3.2.2. 快速記憶體細胞元設計 28
3.2.3. 高雜訊容忍能力記憶體細胞元設計 29
3.2.4. Read-Static-Noise-Margin analysis 30
3.3. 實作記憶體細胞元設計 31
3.3.1. 記憶體細胞元設計 31
4. 記憶體週邊電路設計 34
4.1. 傳統記憶體週邊電路設計 34
4.1.1. 解碼電路設計 34
4.1.2. 放大器電路設計 35
4.1.3. 寫入電路設計 37
4.1.4. 預先充電電路設計 38
4.2. 實作低功耗導向的記憶體週邊電路設計 38
5. 記憶體產生器實作 43
5.1. 記憶體產生器簡介 43
5.2. SRAM 產生器 45
5.2.1. 電路模擬數據 45
5.2.2. SRAM記憶體產生器之流程 50
5.2.2.1. 產生器提供的模組 50
5.2.2.1.1. Behavior Verilog Mode 52
5.2.2.1.2. Synopsys Timing Library Mode 53
5.2.2.1.3. LEF Mode 55
5.2.2.1.4. SPICE Netlist Mode 55
5.2.2.1.5. Physical Layout Mode 56
5.2.2.1.6. 記憶體採多重電壓源設計 57
6. SRAM應用 59
6.1. 應用在Cache 產生器的介紹 59
6.1.1. 直接映射法加直接寫入策略的快取產生器設計 61
6.1.1.1. 快取記憶體比較細胞元設計介紹 62
6.1.1.2. 解碼電路介紹 63
6.1.1.3. MUX電路介紹 63
6.1.1.4. 快取記憶體實作結果 64
6.2. 應用在REGISTER File產生器的介紹 66
7. 結論 68
參考文獻 69
參考文獻 References
[1] J. M. Rabaey, A. Chandrakasan,and B. Nikolic, “Digital Integrated Circuits, A Design Perspective“ , 2nd ed., Prentice-Hall, 2003.
[2] T.S. Hwei, L.P. Yee,and M.S. Sulaiman, “A Low-Power High-Speed 1-Mb CMOS SRAM” ,Proceedings of Third IEEE International Workshop on Electronic Design, Test and Applications, January, 2006.
[3] Y.M. Hong, Y.H. Jun, and L.S. Kim, “A pipelined row address decoding scheme for hierarchical word line structure DRAM” , proceedings of ICVC '99. 6th International Conference on VLSI and CAD, pp.259-262,October,1999.
[4] Y. H. Suh, et al. , “A 256Mb Synchronous-Burst DDR SRAM with Hierarchical Bit-Line Architecture for Mobile Applications” ,Proceedings of IEEE International Solid-State Circuits (ISSCC), Conference, Vol.1, pp.476-611, 2005.
[5] B.Do. Yang,and L.S. Kim, “A low-power SRAM using hierarchical bit line and local sense amplifiers” ,IEEE Journal of Solid-state Circuits, Vol.40, pp.1366 – 1376,June, 2005.
[6] 陳佑齊,“記憶體產生器之實作及多媒體應用中記憶體之設計”, 國立中山大學/資訊工程研究所/94/碩士
[7] R.E. Aly, M.I. Faisal,and M.A. Bayoumi, “NOVEL 7T SRAM CELL FOR LOW POWER CACHE DESIGN” ,Proceedings of IEEE International SOC ,Conference,Vol.171-174,September, 2005.
[8] A. Agarwal, B. C. Paul, S. Mukhopadhyay,and K. Roy, “Process variation in embedded memories: failure analysis and variation aware architecture” ,IEEE Journal of Solid-state Circuits, Vol. 40, No.9, pp. 1804-1814, September, 2005.
[9] M. Yamaoka, et al., “90-nm process-variation adaptive embedded SRAM modules with power-line-floating write technique” ,IEEE Journal of Solid-state Circuit , Vol. 41, No.3, pp. 705-711, March, 2006.
[10] Y. Takeyama, et al., “A Low Leakage SRAM Macro With Replica Cell Biasing Scheme” ,IEEE Journal of Solid-state Circuits, Vol. 41, No.4, pp. 815-822, APRIL, 2006.
[11] J. Lee,and A. Davoodi, “Comparison of Dual-Vt Configurations of SRAM Cell Considering Process-Induced Vt Variations” ,Proceedings of IEEE International Symposium on Circuits and Systems ( ISCAS), pp.3018-3021,May, 2007.
[12] Y.Chung,and S.W. Shim, “Sub-1V embedded SRAM with bit-error immune dual-boosted cell technique” , Proceedings of Electronics Letters, Vol.43,No.3, pp.157 – 158,February , 2007.
[13] K. Takeda, et al. , “A Read-Static-Noise-Margin-Free SRAM Cell for Low-Vdd and High-Speed Applications” ,IEEE Journal of Solid-state Circuits, Vol.41, pp. 113-121, January, 2006.
[14] C.P. Yuan,and Y.C. Cheng, “A voltage level converter circuit design with low power consumption” ,Proceedings of International Conference On ASIC, Vol.1,pp.309-310,October, 2005.
[15] E. Seevinck, F.J. List,and J. Lohstroh, “Static-noise margin analysis of MOS SRAM cells” ,IEEE Journal of Solid-State Circuits, Vol.22,No.5,pp.748-754, October, 1987.
[16] K. Mai, et al., “Architecture and Circuit Techniques for a 1.1-GHz 16-kb Reconfigurable Memory in 0.18-um CMOS” ,IEEE Journal of Solid-state Circuits, Vol. 40, No.1, January, 2005.
[17] K. Takeda, et al., “Per-bit sense amplifier scheme for 1GHz SRAM macro in sub-100nm CMOS technology” ,Proceedings of IEEE International Solid-State Circuits (ISSCC), Conference, Vol. 1, pp. 502-542, 2004.
[18] http://www.umc.c om.tw/chinese/design/b_1.asp
[19] http://www.nsc.gov.tw/_NewFiles/popular_science.asp?add_year=2003&popsc_aid=192
[20] http://www.src.nctu.edu.tw/old/old(2002-2004)/history/history1.htm
[21] C.S. Lin, J.C. Chang,and B.D. Liu, “A Low-Power Precomputation -Based Fully Parallel Content-Addressable Memory” , IEEE Journal of Solid-state Circuits, Vol. 38, NO. 4, April, 2003
[22] Y.L. Hsiao, et al., “Power modeling and low-power design of content addressable memories” , Circuits and Systems, 2001. ISCAS, Volume: 4, May, 2001
[23] K. J. Schultz, “Content-addressable memory core cells: a survey” , Integration, the VLSI Journal, Volume 23 , Issue 2, November, 1997.
[24] T. P. Haraszti, “CMOS memory circuits”, Kluwer Academic, 2001.
[25] Betty Prince, “Semiconductor memories : a handbook of design, manufacture, and application 2nded”, Wiley, 1991
[26] 林詩芸, “快取記憶體產生器之設計與實做,” 國立中山大學資訊工程學系研究所碩士論文, 2005.
[27] N. Verma,and A.P. Chandrakasan, “A 65nm 8T Sub-Vt SRAM Employing Sense-Amplifier Redundancy” ,Proceedings of IEEE International Solid-State Circuits (ISSCC), Conference, pp. 328-606, 2007
[28] Synopsys, “Library Compiler Reference Manual Volume 1” , v2002.05-SP2, May, 2002
[29] Cadence Design Systems, “LEF/DEF 5.5 Language Reference, Product Version 5.5”, June, 2004
電子全文 Fulltext
本電子全文僅授權使用者為學術研究之目的,進行個人非營利性質之檢索、閱讀、列印。請遵守中華民國著作權法之相關規定,切勿任意重製、散佈、改作、轉貼、播送,以免觸法。
論文使用權限 Thesis access permission:校內校外均不公開 not available
開放時間 Available:
校內 Campus:永不公開 not available
校外 Off-campus:永不公開 not available

您的 IP(校外) 位址是 18.190.159.10
論文開放下載的時間是 校外不公開

Your IP address is 18.190.159.10
This thesis will be available to you on Indicate off-campus access is not available.

紙本論文 Printed copies
紙本論文的公開資訊在102學年度以後相對較為完整。如果需要查詢101學年度以前的紙本論文公開資訊,請聯繫圖資處紙本論文服務櫃台。如有不便之處敬請見諒。
開放時間 available 已公開 available

QR Code