Responsive image
博碩士論文 etd-0906100-162015 詳細資訊
Title page for etd-0906100-162015
論文名稱
Title
一種可配置快取記憶體設計的效能評估
Performance Evaluation of An Allocatable Cache Design
系所名稱
Department
畢業學年期
Year, semester
語文別
Language
學位類別
Degree
頁數
Number of pages
63
研究生
Author
指導教授
Advisor
召集委員
Convenor

口試委員
Advisory Committee
口試日期
Date of Exam
2000-07-11
繳交日期
Date of Submission
2000-09-06
關鍵字
Keywords
可配置快取記憶體
allocatable cache
統計
Statistics
本論文已被瀏覽 5671 次,被下載 24
The thesis/dissertation has been browsed 5671 times, has been downloaded 24 times.
中文摘要
在單晶片多重處理器上,晶片內與晶片間的通訊與處理時間隨著VLSI技術的進步,差距越來越大,所以單晶片處理器的效能瓶頸決定於對晶片外記憶體存取的數量。
我們提出一種晶片內可配置快取記憶體(allocatable cache)的軟硬體協同設計,此設計的考量為根據對執行程式所需快取記憶體空間大小作事先的測量,在執行時由作業系統將其與相近需求的程式分配至同一處理器,並分配相當的快取記憶體容量供其使用,如此使單晶片多重處理器中的不同程式可依需求使用不同大小的快取記憶體容量,並可動態調整,而能提昇整體的晶片內快取搜尋命中率及提昇總體執行效能。
為實証比較此系統所能達成的效能改進,我們設計此一可配置快取記憶體系統模擬器,並設計專屬快取架構(dedicated cache)及完全共享快取架構(fully-shared cache)的模擬器,結合單晶片多重處理器的模擬環境設計,做一整體的效能評。我們從真實程式執行萃取程式追蹤資料,並測量其在快取容量與快取命中率的特性資料,以之做為多重處理器模擬執行的各測試程式,並以隨機產生快取容量變化時間,逐次替換各處理器的執行程式,做多重處理器的模擬執行。經實驗比較,可配置快取記憶體設計可得到最佳的快取命中率與程式執行時間,雖然完全共享快取記憶體設計可有相近的效能,但是其缺點為需要較高之連接成本。
Abstract
In a single chip multiprocessor, the ratio of off-chip communication time and on-chip processing time become larger and larger along with the advancement of VLSI technology. Hence, the number of off-chip memory accesses will become a dominant factor of system performance.
We have developed a hardware/software design of an on-chip allocatable cache. In this design, we take into account the pre-measured cache size requirement of the executed program. The operating system can then allocate proper cache size to the corresponding processor by cache submodule re-allocation. Hence, programs with different cache size requirements can then be adjusted their cache size dynamically for proper cache allocation in order to increase the overall hit ratio of on-chip caches as well as the system performance.
To validate the achievable the performance improvement, we designed simulators of the allocatable cache design, the dedicated cache design, and the fully shared cache design together with the multiprocessor simulation environment. We extracted execution traces from a set of real programs and measured their cache hit ratios on different sizes of cache capacities. We performed the single-chip multiprocessor simulation with these data. We randomize the time periods of cache characteristics changes to replace the executed programs in each processor during the multiprocessor simulation. The performance experiments reveal that the allocatable cache design obtains the best overall cache hit ratio and total program execution time. Although the fully shared cache design can have performance near that of the allocatable cache design, it has a draw back of much larger interconnection cost.
目次 Table of Contents
第一章 導論
1-1 研究動機與工作………………………………………………………….5
1-2 基本快取記憶體設計…………………………………………………….6
1-3單晶片多重處理器使用傳統快取記憶體設計的問題…………………9
1-4共享記憶體多重處理器的基本快取記憶體連結架構…………………10
1-5 共享記憶體多重處理器的各種匯流排連結快取記憶體架構…………14
1-6 論文架構…………………………………………………………………18

第二章 可配置快取記憶體設計
2-1 可配置快取記憶體的多重處理器系統架構……………………………19
2-1-1快取模組設計………………………………………………21
2-1-2匯流排系統…………………………………………………24
2-2 可配置快取記憶體的位址對映方法……………………………………25
2-2-1位址對映範例………………………………………………26
2-2-2初始配置對應………………………………………………27
2-3 配置評量尺度分析………………………………………………………28
2-4 快取重新配置之策略……………………………………………………31
2-5 快取重新配置的軟體方法………………………………………………34
2-5-1快取重新配置的計算程序……………………………………………35
2-5-2對映表的更新………………………………………………36
2-5-3重新配置快取模組內容的更動………………………………………37
2-5-4快取集合內重新配置資料的取代方式……………………38
2-5 重新配置期間快取存取方法……………………………………………39

第三章 實驗規劃
3-1 效能模擬軟體設計………………………………………………………42
3-1-1對映表的更新………………………………………………42
3-1-2模擬軟體架構………………………………………………44
3-1-3執行指令追蹤資料萃取……………………………………45
3-1-4測量不同快取容量時的快取命中率………………………45
3-2 效能評估方法與評量尺度………………………………………………46
3-3 效能評估實驗規劃………………………………………………………47
3-3-1系統組態……………………………………………………47
3-3-2測試程式介紹………………………………………………50
3-3-3系模擬實驗的特性參數數據………………………………53
3-3-4實驗類別……………………………………………………54
3-4 效能評估結果分析………………………………………………………54

第四章 結論……………….………………………….……………………62

參考文獻 References
[1]. Tsung Lee, An allocatable cache design , Tech. Rep. No. CAD-00-04 CAD Lab., Dept. of Electrical Eng., Nat'l Sun Yat-Sen Univ., Kaohsiung, Taiwan, R.O.C., July 2000.

[2]. Kai Huang, Advanced Computer Architecture: Parallelism, Scalability,
Programmability, McGraw-Hill, 1993.

[2]. Dezso Sima, et al., Advanced Computer Architectures, Addison-Wesley, 1997.

[3]. Mike Johnson, Superscalar Microprocessor Design, Prentice Hall, 1991.

[4]. David A. Patterson and John L. Hennessy, Computer Organization & Design
The Hardware/Software Interface, Morgan Kaughmann, 1997.

[6]. Abraham Silberschatz, et al., Operating System Concepts, Addison-Wesley,
1991.

[7]. Bruce Shriver and Bennett Smith , The Anatomy of a High-Performance
Microprocessor A Systems Perspective, IEEE Computer Society Press, 1998.

[8]. David E. Culler and Jaswinder Pal Singh , Parallel Computer Architecture,
Morgan Kaufmann, 1999.

[9]. Jianwen Zhu, et al.,"Syntax and Semantics of the SpecC Language,"
Proceedings of the Synthesis and System Integration of Mixed Technologies 1997

[10]. Rainer Domer, et al., The SpecC Language Reference Manual ,
Univ. of California Irvine, USA, Technical Report ICS-TR-98-13, March 1998

[11]. Jack E. Veenstra and Robert J. Fowler , MINT Tutorial and User Manual,
The Univeristy of Rochester Computer Science Department Rochester, New York 1994.
電子全文 Fulltext
本電子全文僅授權使用者為學術研究之目的,進行個人非營利性質之檢索、閱讀、列印。請遵守中華民國著作權法之相關規定,切勿任意重製、散佈、改作、轉貼、播送,以免觸法。
論文使用權限 Thesis access permission:校內公開,校外永不公開 restricted
開放時間 Available:
校內 Campus: 已公開 available
校外 Off-campus:永不公開 not available

您的 IP(校外) 位址是 3.144.86.134
論文開放下載的時間是 校外不公開

Your IP address is 3.144.86.134
This thesis will be available to you on Indicate off-campus access is not available.

紙本論文 Printed copies
紙本論文的公開資訊在102學年度以後相對較為完整。如果需要查詢101學年度以前的紙本論文公開資訊,請聯繫圖資處紙本論文服務櫃台。如有不便之處敬請見諒。
開放時間 available 已公開 available

QR Code