Responsive image
博碩士論文 etd-0911102-145452 詳細資訊
Title page for etd-0911102-145452
論文名稱
Title
低介電常數材料氫矽酸鹽類於製程整合上的應用
Investigation of Low-Dielectric constant Hydrogen Silsesqnioxane as Intermetal Dielectric
系所名稱
Department
畢業學年期
Year, semester
語文別
Language
學位類別
Degree
頁數
Number of pages
66
研究生
Author
指導教授
Advisor
召集委員
Convenor

口試委員
Advisory Committee
口試日期
Date of Exam
2002-07-05
繳交日期
Date of Submission
2002-09-11
關鍵字
Keywords
低介電常數材料、製程整合應用、氫矽酸鹽類
HSQ, Low-Dielectric constant, Hydrogen Silsesqnioxane, low k
統計
Statistics
本論文已被瀏覽 5720 次,被下載 3753
The thesis/dissertation has been browsed 5720 times, has been downloaded 3753 times.
中文摘要
摘要

隨著半導體技術的進步,元件的尺寸不斷的縮小,電子訊號在金屬導線間傳遞所造成的延遲,變成半導體元件速度受限的主要原因。為了降低訊號傳遞的時間延遲,使用低介電常數材料作為導線間的絕緣層,便可降低導線間的電容值,使元件在速度方面的性能提高,並且可以降低功率的消耗(power dissipation)及雜訊干擾。
在眾多的低介電常數材料中,旋塗式氫矽酸鹽類 HSQ 已被廣泛使用,而因其較低的機械強度,對於製程整合的應用上產生些許問題。本論文內容主要針對加強型氫矽酸鹽 Modified-HSQ材料其基本特性、熱穩定性及電漿處理作探討與分析。實驗發現經過氫電漿處理後的M-HSQ薄膜漏電流與介電常數皆有下降的趨勢, 顯示氫的含量在M-HSQ材料裡佔有改善薄膜特性的重要角色. 另一方面, 製程整合中,光阻的去除是一個無法避免的重要步驟,因此,氧電漿勢必會與低介電常數材料接觸並有所破壞。在本論文中,將利用氧電漿探討光阻灰化去除製程對M-HSQ薄膜的影響。並且提出以氫電漿做為事前預防的方法, 避免薄膜受到氧電漿的破壞。另一方面, 更提出以電子束微影的新技術, 可以完全避免光阻去除時氧電漿的破壞, 製程整合應用上更為簡單, 並可輕易的達到0.06 μm的微小線寬。
Abstract
Abstract

As ULSI circuits are scaled down to deep submicron regime, interconnect delay becomes increasingly dominant over intrinsic gate delay. To reduce the RC delay time, many low dielectric constant materials have been developed.
One of the most promising low-k materials is siloxane-based hydrogen silsesquioxane (HSQ) having the general formula (HSiO3/2)2n, n=2, 3, etc. available as Flowable Oxide (FOx). But low mechanical strength is the problem of HSQ. In order to modify the material composition and mechanical intensity of HSQ, a novel siloxane-based inorganic spin-on material Modified-HSQ has been developed for intermetal dielectric applications.
In this thesis, the Intrinsic Properties of M-HSQ was investigated. And the effect of H2, O2 plasma treatment was investigated. Besides, In order to avoid the damage when remove the PR, to achieve small linewidth and reduce linewidth fluctuations. We employed E-Beam lithography to pattern the M-HSQ film. The leakage current of M-HSQ film by E-Beam curing is lower than film by conventional process.
目次 Table of Contents
Contents
Chapter 1 Introduction

1.1 General Background……………………1
1.2 Motivation……………………………...3
1.3 Organization of this thesis……………...6
Chapter 2 Experimental Procedure
2.1 Introduction……………………………...7
2.2 Sample preparation………….…………...8
2.2.1 Thermal stability……………. 9
2.2.2 Plasma Treatment……………10


Chapter 3 Experimental Results and Discussion

3.1 Intrinsic Properties of M-HSQ………………12
3.2 plasma treatment……………………………..15

Chapter 4 Effects of E-Beam exposure on M-HSQ Films

4-1 Introduction………………………………….20
4-2 Experiment………………………………….. 21
4.2.1 E-beam curing
4.2.2 E-beam direct write
4-3 Result and discussion…………………………23

Chapter 5 Conclusions
參考文獻 References
References

[1] L. Peters, Semiconductor International, Cover Story, p. 64, September 1998
[2] J. Ida, M. Yoshimaru, T. Usami, A. Ohtomo, K. Shimokawa, A. Kita, M. Ino, IEEE Symp. VLSI Technol. Digest, p. 59, (1994)
[3] T. Sakurai, IEEE Trans. Electron Devices, 40, 118 (1993)
[4]. K. Hinode, N. Owada, T. Nishida, K. Mukai, J. Vac. Sci. Technol. B5, 518 (1987).
[5] S.-Y. Oh.et al., SRC Topic Research Conference Workshop on Low Dielectric Interlayer Dielectrics for High Peformance Circuits, RPI, Troy, NY, Aug. 9-10, 1994
[6] T. S. Kuan, Dielectrics and CVD Metallization Symp., San Diego, CA, Feb. 7-8, 1994
[7] T. E. Seidel, C. H. Ting, Material Research Society Symp. Proc. Vol.381, p. 3, 1995
[8] C. B. Case, C. F. Case, A. Kornbit, M. E. Mills, D. Castillo, R. Liu, Materials Research Society, vol. 443, p.177
[9] Neil H. Hendricks, Materials Research Society, vol. 443, p.3, 1997
[10] P. Singer, Semiconductor International 1996, May, 71-74.
[11] S. Ellingboe et al., Proc. Of VLSI Multilevel Interconnect Conference (VMIC)
[12] L. R Allen, J. Vac. Sci. Technol., B14, pp. 724-726 (1996)
[13] T. Li et al., Ext. Abs. Of Electronchem. Soc. (ECS), Vol-94, p.494 (1994)
[14] H. Hayashi et al., Symp. VLSI Technology., pp. 88-89 (1996)
[15] M. Fukawa et al., Proc. Of Symp. On Dry Process, pp. 175-182 (1998)
[16] M. R. Baklanov et al., J. Vac Sci. Technol., B17, pp. 372-379(1999)
[17] Demolliens et al., Proc. Of the International Interconnect Technology Conference, pp. 198-199 (1999)
[18] M. Iketa et al., Proc. Of the International Interconnect Technology Conference, pp. 131-133 (1998)
[19] J. C. Sum et al., Proc. Of the International Interconnect Technology Conference, pp. 184-185 (1999)n
[20] H. Treichel, G. Ruhl, P. Ansmann, R. Wuller, M. Dietlmeier and G.Maier, Proceedings of The First International Dielectrics for VLSI/ULSI Multilevel Interconnection Conference (DUMIC) (IEEE, Santa Clara, CA. 1998), p.201.
[21] H. D. Jeong, H. S. Park, H. J. Kim, H. K. Kang and M. Y. Lee, Ieee International Interconnect Technology Conference, pp. 190-192 (1999)
[22] Y. Y. Cheng, S. M. Jang, C. H. Yu, S. C. Sun and M. S. Liang, Ieee International Interconnect Technology Conference, pp. 193-195 (1999)
[23] P. T. Liu, T. C. Chang, S. M. Sze, F. M. Pan, Y. J. Mei, W. F. Wu, M. S. Tsai, B. T. Dai, C. Y. Chang, F. Y. Shih, and H. D. Hung “ The Effects of Plasma Treatment for Low Dielectric Constant Hydrogen Silsesquioxane (HSQ)”, Thin Solid Films, 332, pp. 345-350, 1998.
[24] P. T. Liu, T. C. Chang, Y. L. Yang, Y. F. Cheng, F. Y. Shih, J. K. Lee, Eric Tsai, and S. M. Sze “Effectively Blocking Copper Diffusion at Low-k Hydrogen Silsesquioxane/Copper Interface”, Jpn. J. Appl. Phys. 38, November, 1999.
[25] P. T. Liu, T. C. Chang, Y. L. Yang, Y. F. Cheng, J. K. Lee, F. Y. Shih, Eric Tsai, Grace Chen, S. M. Sze “ Improvement on Intrinsic Electrical Properties of Low-k Hydrogen Silsesquioxane/Copper Interconnects Employing Deuterium Plasma Treatment”, accepted for publication in J. Electrochem. Soc., 2000 issue.
[26] T. C. Chang, P. T. Liu, F. Y. Shih, and S. M. Sze “Effects of Hydrogen on Electrical and Chemical Properties of Low-k Hydrogen Silsesquioxane as an Intermetal Dielectric for Nonetchback Processes”, Electrochem and Solid-State Letters, 2 (8), pp. 390-392, 1999.
[27] P. T. Liu, T. C. Chang, Y. F. Cheng, M. S. Feng, F. Y. Shih, and S. M. Sze “High -performance Integration of Copper Interconnects with Low-k Hydrogen Silsesquioxane Employing Deuterium Plasma Treatment”, The Electrochemical Society, October 17-22, Hawaii, p. 742, 1999.
[28] V. McGayay, A. Acovic, B. Argarwala, G. Endicott, M. Shapiro, and S. Yankee, Int. VLSI Multilevel Interconnection Conf. Proc., p. 116 (1996).
[29] M. J. Loboda, C. M. Grove and R. F. Schneider, J. Electrochem. Soc., 145, 2861 (1998).
[30] D. Thomas, and G. Smith, Dielectrics for ULSI Multilevel Interconnection Conf., p. 361 (1997).

[31] B. T. Ahlburn, G. A. Brown, T. R. Seha, and T. F. Zoes, Int. Dielectrics for ULSI Multilevel Interconnection Conference, p. 36 (1995).
[32] M. J. Lobda et al., Understanding HSQ-based Dielectric Film Processing, Solid Staate Technology, May, p. 99 (1998)
[33] J. T. Clemens, Solid State Technology, March 1989, p. 69
[34] Thompson, L. F., Willson, C. G., and Bowden, M. J., Introduction to Microlithography, 2nd Ed., ACS, Washington, 1997, Chapter 2
[35] Nonogaki, S., Ueno, T., and Ito, T., Microlithography Foundamentals in Semiconductor devices and Fabrication Technology, Marcel Dekker, New York, 1998, Chapter 7
[36] Y. Someda, Y Shoda and N. Saitou, J. Vac. Sci. Technol., B14, pp. 3742, 1996
[37] H. Namatsu. Nano-Patterning of a Hydrogen Silsesquioxane Resist with Reduced Linewidth Fluctuations. Microelectronic Engineering 41/42 (1998) 331-334
電子全文 Fulltext
本電子全文僅授權使用者為學術研究之目的,進行個人非營利性質之檢索、閱讀、列印。請遵守中華民國著作權法之相關規定,切勿任意重製、散佈、改作、轉貼、播送,以免觸法。
論文使用權限 Thesis access permission:校內校外完全公開 unrestricted
開放時間 Available:
校內 Campus: 已公開 available
校外 Off-campus: 已公開 available


紙本論文 Printed copies
紙本論文的公開資訊在102學年度以後相對較為完整。如果需要查詢101學年度以前的紙本論文公開資訊,請聯繫圖資處紙本論文服務櫃台。如有不便之處敬請見諒。
開放時間 available 已公開 available

QR Code