Responsive image
博碩士論文 etd-1120117-122442 詳細資訊
Title page for etd-1120117-122442
論文名稱
Title
具漏電流補償電路之5T靜態隨機存取記憶體
Design and Implementation of Leakage Compensation Circuit for 5T SRAM
系所名稱
Department
畢業學年期
Year, semester
語文別
Language
學位類別
Degree
頁數
Number of pages
96
研究生
Author
指導教授
Advisor
召集委員
Convenor
口試委員
Advisory Committee
口試日期
Date of Exam
2017-12-19
繳交日期
Date of Submission
2017-12-21
關鍵字
Keywords
迴轉率補償電路、電壓調適電路、漏電流偵測、單端靜態隨機存取記憶體單元、靜態隨機存取記憶體
Gate Voltage Boosting Compensation Circuit, single-ended SRAM cell, leakage current sensor, Slew Rate Compensation Circuit, adaptive voltage detector
統計
Statistics
本論文已被瀏覽 5710 次,被下載 6
The thesis/dissertation has been browsed 5710 times, has been downloaded 6 times.
中文摘要
因SRAM在如智慧型手機等之可攜式消費性電子產品中的功率消耗佔有相當高之比率,因此減少功率消耗為SRAM設計中一重要技術。因此,在本論文中提出兩種針對5T SRAM單元所設計之補償電路。

第一個電路設計為SRAM輸出訊號之迴轉率補償電路,當中包含一漏電流偵測電路及一電流補償電路。當電路操作於漏電流過大之狀況時,漏電流偵測電路將輸出一始能訊號以啟動電流補償電路,而電流補償電路將提供一額外電流增加SRAM單元之輸出訊號迴轉率。而迴轉率的提升可加速後端數位電路儘早操作於穩定狀態,如此一來整體電路的功率消耗也可隨之降低。此設計係以TSMC 40 nm CMOS元件庫技術進行佈局及下線,根據晶片量測結果得知在系統電壓為0.6 V時,只需付出3.64% 之面積即可減少27.86% 之功率及54.88%之讀取延遲。

第二個設計為一閘極電壓提升補償電路,當中包含一電壓調適電路及一電壓提升電路。在操作於高壓時(漏電流較高),藉由提升存取電晶體之閘極電壓以提升讀寫電流,進一步提升整體SRAM之操作頻率。此設計係以TSMC 28 nm CMOS低功率元件庫技術進行佈局及下線,根據晶片量測結果證實系統電壓為0.8 V時,付出6.6%的面積即可減少17.2%的功率消耗及提升46.5%之迴轉率。

上述之新提出之設計,使本論文之SRAM在綜合效能指標(FOM)表現上,達到目前為世界領先者。
Abstract
To reduce the SRAM area, a 5T single-ended SRAM cell has been proposed by our laboratory before. This dissertation presents two compensation designs for the 5T single-ended SRAM to reduce the power consumption of consumer electronics, e.g., smartphones.

The first compensation design is a Slew Rate Compensation Circuit to fasten the slew rate of output signals. This compensation circuit consists of a Leakage Current Sensor and a Current Compensation Circuit. When the leakage of the SRAM cell is too high, the Current Compensation Circuit will be enabled by the Leakage Current Sensor to elevate the slew rate of the output on the bitline. This makes it possible to speed up the subsequent digital circuitry into a stable state, thereby reducing the associative active power.The SRAM using the proposed design was implemented using TSMC 40 nm CMOS logic technology. At the system voltage of 0.6 V, the proposed compensation design reduced the average power dissipation by 27.86%, and read delay by 54.88%, with only 3.64% area overhead.


The second compensation design is to add a circuit in the SRAM cell to boost the gate voltage of the access transistor, thereby allowing an increase in the slew rate of the output, resulting in faster read and write operations. The SRAM using this gate drive boost circuit was implemented using TSMC 28 nm CMOS logic low power technology. When operating at a system voltage of 0.8 V, the proposed compensation scheme was demonstrated to reduce power dissipation by 17.2% and attain a 46.5% improvement in the output slew rate with only 6.6% area overhead.

Finally, two compensation circuits are implemented using 40 nm and 28 nm logic processes to justify their performance, respectively. According to the Figure-of-Merit (FOM), the SRAMs using the proposed compensation designs have been proved are the state of art to date of the 5T single-ended SRAMs.
目次 Table of Contents
中文摘要i
Abstract ii
List of Figures vi
List of Tables ix
Chapter 1 Introduction 1
1.1 Motivation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1
1.2 Literature Review . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3
1.2.1 SRAM cell . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3
1.2.2 Compensation Schemes . . . . . . . . . . . . . . . . . . . . . . 9
1.3 Organization of the Dissertation . . . . . . . . . . . . . . . . . . . . . 15
Chapter 2 5T SRAM with Slew Rate Compensation 17
2.1 Chapter Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17
2.2 Single-ended Disturb-free 5T Loadless SRAM Cell . . . . . . . . . . . 18
2.2.1 Read and Write Access . . . . . . . . . . . . . . . . . . . . . . 19
2.2.2 Optimal number of cells to share one bitline inverter . . . . . 21
2.3 Slew Rate Compensation Circuit . . . . . . . . . . . . . . . . . . . . 24
2.3.1 Leakage Current Sensor . . . . . . . . . . . . . . . . . . . . . 24
2.3.2 Current Compensation Circuit . . . . . . . . . . . . . . . . . . 27
2.4 Row/Column decoder . . . . . . . . . . . . . . . . . . . . . . . . . . . 30
2.5 Build-in Self-test (BIST) . . . . . . . . . . . . . . . . . . . . . . . . . 31
iv
2.6 Implementation and Measurement . . . . . . . . . . . . . . . . . . . . 32
2.6.1 Simulation results . . . . . . . . . . . . . . . . . . . . . . . . . 32
2.6.2 Chip measurement . . . . . . . . . . . . . . . . . . . . . . . . 37
2.7 Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 46
Chapter 3 5T SRAM with Gate Drive Boosting Compensation
Circuit 47
3.1 Chapter Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 47
3.2 Single-ended Disturb-free 5T Loadless SRAM Cell . . . . . . . . . . . 48
3.3 Gate Drive Boosting Compensation Circuit . . . . . . . . . . . . . . . 49
3.3.1 Adaptive Voltage Detector (AVD) . . . . . . . . . . . . . . . . 50
3.3.2 Word Line Boost Circuit (WLBC) . . . . . . . . . . . . . . . . 52
3.4 Implementation and Measurement . . . . . . . . . . . . . . . . . . . . 55
3.4.1 Simulation and analysis . . . . . . . . . . . . . . . . . . . . . 55
3.4.2 Chip measurement . . . . . . . . . . . . . . . . . . . . . . . . 60
3.5 Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 66
Chapter 4 Conclusion and Future Work 68
4.1 Conclusions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 68
4.2 Future Work . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 69
Bibliography 73
參考文獻 References
[1] Semico Research, ”System(s)-on-a-Chip - A Braver
New World” Internet: http:// www.semico.com/ content/
semico-systems-chip-–-braver-new-world, Oct. 24, 2007.
[2] X. Chen, “Smartphone power consumption characterization and dynamic
optimization techniques for OLED display,” PhD dissertation, University of
Pittsburgh. 2016.
[3] P. Pietro and V. Alessio, ”GPU power consumption and performance
trends” Internet: https:// www.slideshare.net/ AlessioVillardita/
ca-1st-presentation-final-published, May 2, 2015.
[4] A. Carroll and Gernot Heiser, “An analysis of power consumption in a
smartphone,” in Proc. USENIX annual technical conf., pp. 21-34, Jun. 2010.
[5] https://en.wikipedia.org/wiki/Static_random-access_memory
[6] R. J. Baker, CMOS Circuit Design, Layout, and Simulation, 3rd ed. Piscataway,
NJ: IEEE Press, 2010.
[7] V. Kumar and G. Khanna, “A novel 7T SRAM cell design for reducing
Leakage Power and improved stability,” in Proc. IEEE Inter. Conf. on Advanced
Communication Control and Computing Technologies, pp. 8-10, May 2014.
73
[8] D. Sachan, H. Peta, K. S. Malik, and M. Goswami, “Low power multi threshold
7T SRAM cell,” in Proc. IEEE Inter. Midwest Symposium on Circuits and
Systems, pp. 16-19, Mar. 2017.
[9] K. Dnyaneshwar and L. V. Birgale, “Power optimizaton in 8T SRAM cell,”
in Proc. IEEE Inter. Conf. on Computing Communication Control and
automation, pp. 12-13, Aug. 2016.
[10] S. Ataei and J. E. Stine, “A 64 kb multi-threshold SRAM array with novel
differential 8T bitcell in 32 nm SOI CMOS technology,” in Proc. IEEE
SOI-3D-subthreshold Microelectronics Technology Unified Conf., pp. 10-13, Oct.
2016.
[11] G. Shivaprakash and D. S. Suresh, “Optimisation of 9T SRAM bit cell geometry
for high speed memories,” in Proc. IEEE Inter. Conf. on Smart Sensors and
Systems, pp. 21-23, Dec. 2015.
[12] K. Madhukar, V. S. P. Nayak, N. Ramchander, Govind Prasad, and K.
Manjunathachari, “Optimized proposed 9T SRAM cell,” in Proc. IEEE Inter.
Conf. on Recent Trends in Electronics, Information and Communication
Technology, pp. 10-13, Oct. 2016.
[13] P. S. Grace and N. M. Sivamangai, “Design of 10T SRAM cell for high SNM
and low power,” in Proc. IEEE Inter. Conf. on Devices, Circuits and Systems,
pp. 3-5, Mar. 2016.
[14] P. Soumitra, A. Bhattacharya, and A. Islam, “Comparative study of CMOSand
FinFET-based 10T SRAM cell in subthreshold regime,” in Proc. IEEE
74
Inter. Conf. on Advanced Communication Control and Computing Technologies,
pp. 10-13, Oct. 2016.
[15] F. Moradi, D. T. Wisland, S. Aunet, H. Mahmoodi, and T.-V. Cao, “65nm
sub-threshold 11T-SRAM for ultra low voltage applications,” in Proc. IEEE
Inter. SOC Conf., pp. 17-20, Sep. 2008.
[16] L. Li, Y. Li, Y. Ma, and L. Chen, “A novel asymmetrical SRAM cell tolerant
to soft errors,” in Proc. IEEE Inter. Conf. on Electrical and Computer
Engineering, pp. 3-6, May 2015.
[17] B. Wang, J. Zhou, and T. T. Kim, “Ultra-low power 12T dual port SRAM for
hardware accelerators,” in Proc. IEEE Inter. SoC Design Confe., pp. 3-6, Nov.
2014.
[18] L. Atias, A. Teman, and A. Fish, “A 13T radiation hardened SRAM bitcell for
low-voltage operation,” in Proc. IEEE SOI-3D-Subthreshold Microelectronics
Technology Unified Conf., pp. 7-10, Oct. 2013.
[19] B. H. Calhoun and A. P. Chandrakasan, “A 256-kb 65-nm sub-threshold SRAM
design for ultralow-voltage operation,” IEEE J. of Solid-State Circuits, vol. 42,
no. 3, pp. 680-688, Mar. 2007.
[20] L. Chang, D. Fried, J. Hergenrother, J. Sleight, R. Dennard, R. Montoye, L.
Sekaric, S. McNab, A. Topol, C. Adams, K. Guarini, and W. Haensch, “Stable
SRAM cell design for the 32 nm node and beyond,” in Proc. Symposium on
VLSI Technology, Digest of Technical Papers, pp. 14-16, Jul. 2005.
[21] Z. Liu and V. Kursun, “Characterization of a novel nine-transistor SRAM cell,”
75
IEEE Trans. Very Large Scale Integration Syst., vol. 16, no. 4, pp. 488-492, Mar.
2008.
[22] T. Suzuki, H. Yamauchi, Y. Yamagami, K. Satomi, and H. Akamatsu, “A
stable 2-port SRAM cell design against simultaneously read/write-disturbed
accesses,” IEEE J. of Solid-State Circuits, vol. 43, no. 9, pp. 2109-2119, Sep.
2008.
[23] K. Takeda, Y. Hagihara, Y. Aimoto, M. Nomura, Y. Nakazawa, T. Ishii,
and H. Kobatake, “A read-static-noise-margin-free SRAM cell for low-vdd and
high-speed applications,” IEEE J. of Solid-State Circuits, vol. 41, no. 1, pp.
113-121, Dec. 2005.
[24] N. Verma and A. P. Chandrakasan, “A 256 kb 65 nm 8T subthreshold SRAM
employing sense-amplifier redundancy,” IEEE J. of Solid-State Circuits, vol.
43, no. 1, pp. 141-149, Jan. 2008.
[25] A. Wang and A. Chandrakasan, “A 180mV FFT processor using sub-threshold
circuit techniques,” IEEE J. of Solid-State Circuits, vol. 40, no. 1, pp. 310-319,
Jan. 2005.
[26] M. Gopal, D. S. S. Prasad, and B. Raj, “8T SRAM cell design for dynamic and
leakage power reduction,” Inter. J. of Computer Applications, vol. 71, no. 9,
pp. 43-48, Jun. 2013.
[27] C.-C. Wang, C.-L. Lee, and W.-J. Lin, “A 4-kb low-power SRAM design with
negative word-line scheme,” IEEE Trans. on Very Large Scale Integration
(VLSI) Systems, vol. 24, no. 5, pp. 1761-1769, Oct. 2015.
76
[28] L. Villa, M. Zhang, and K. Asanovic, ”Dynamic zero compression for cache
energy reduction,” in Proc. IEEE Inter. Symp. on Microarchitecture, pp.
214-220, Dec. 2000.
[29] A. Sayeed, M. K. Gupta, A. Naushad, and Mohd. Hasan, “Single-ended
schmitt-trigger-based robust low-power SRAM cell,” IEEE Trans. on Very
Large Scale Integration (VLSI) Systems, vol. 24, no. 8, pp. 2634-3642, Feb.
2016.
[30] F. Hamzaoglu, Y. Ye, A. Keshavarzi, K. Zhang, S. Narendra, S. Borkar, M.
Stan, and V. De, “Analysis of dual-V/sub T/ SRAM cells with full-swing
single-ended bit line sensing for on-chip cache,” IEEE Trans. on Very Large
Scale Integration (VLSI) Systems, vol. 10, no. 2, pp. 91-95, Feb. 2002.
[31] K. J. O’Connor, ”A source sensing technique applied to SRAM cells,” IEEE J.
of Solid-State Circuits, vol. 30, no. 4, pp. 500-511, Apr. 1995.
[32] R. F. Hobson, ”A new single-ended SRAM cell with write-assist,” IEEE Trans.
on Very Large Scale Integration (VLSI) Systems, vol. 15, no. 2, pp. 173-181,
Apr. 2007.
[33] J. Singh, D. K. Pradhan, S. Hollis, and S. P. Mohanty, ”A single ended
6T SRAM cell design for ultra-low-voltage applications,” IEICE Electronics
Express, vol. 5, no. 18, pp. 750-755, Sep. 2008.
[34] S.-Y. Chen and C.-C. Wang, “Single-ended disturb-free 5T loadless SRAM Cell
using 90 nm CMOS process,” in Proc. IEEE Inter. Conf. on IC Design and
Technology, pp. 1-4, Jun. 2012.
77
[35] E. Morifuji, T. Yoshida, M. Kanda, S. Matsuda, S. Yamada, and F. Matsuoka,
”Supply and threshold-Voltage trends for scaled logic and SRAM MOSFETs,”
IEEE Trans on Electron Devices, vol. 53, no. 6, pp. 1427-1432, May 2006.
[36] K. W. Mai, T. Mori, B. S. Amrutur, R. Ho, B. Wilburn, M. A. Horowitz, I.
Fukushi, T. Izawa, and S. Mitarai, ”Low-power SRAM design using half-swing
pulse-mode techniques,” IEEE J. of Solid-State Circuits, vol. 33, no. 11, pp.
1659-1671, Aug. 2002.
[37] J. Singh, S. P. Mohanty, and D. K. Pradhan, Robust SRAM designs and
analysis, Springer Science and Business Media., 2012.
[38] M. Baker, D. Percy, K. Lin, and P. Bassett, “Comparative study of current
mode and voltage mode sense amplifier used for 28nm SRAM,” in Proc. IEEE
Inter. Conf. on Microelectronics, pp. 16-20, Mar. 2013.
[39] P. Murugeswari, G. Anusha, P. Venkateshwarlu, M. Bhaskar, and B.
Venkataramani, “A wide band voltage mode sense amplifier receiver for high
speed interconnects,” in Proc. IEEE Inter. Conf. on TENCON, pp. 19-21, Nov.
2008.
[40] G. V. Kristovski and Y. L. Pogrebnoy, ”New sense amplifier for small-swing
CMOS logic circuits,” IEEE Trans. on Circuits and Systems II: Analog and
Digital Signal Processing, vol. 47, no. 6, pp. 573-576, Aug. 2002.
[41] T. N. Blalock and R. C. Jaeger, ”A high-speed clamped bit-line current-mode
sense amplifier,” IEEE J. of Solid-State Circuits, vol. 26, no. 4, pp. 542-548,
Aug. 2002.
78
[42] A.-T. Do, Z.-H. Kong, K.-S. Yeo, and Y. S. L. Jeremy, “Design and sensitivity
analysis of a new current-mode sense amplifier for low-power SRAM,” IEEE
Trans. on Very Large Scale Integration (VLSI) Systems, vol. 19, no. 2, pp.
196-204, Oct. 2009.
[43] H. Xu, S. Jia, J. Chen, Y. Wang, and G. Du, “A current mode sense amplifier
with self-compensation circuit for SRAM application,” in Proc. IEEE Inter.
Conf. on ASIC, pp. 28-31, Oct. 2014.
[44] A. Chrisanthopoulos, Y. Moisiadis, T. Tsiatouhas, and A. Arapoyanni,
“Comparative study of different current mode sense amplifiers in submicron
CMOS technology,” IEEE Proc. - Circuits, Devices and Systems, vol. 149, no.
3, pp. 154-158, Dec. 2002.
[45] S.-M. Wang and C.-Y. Wu, “Full current-mode techniques for high-speed CMOS
SRAMs,” in Proc. IEEE Inter. Symp. on Circuit and Systems, pp. 580-582, May
2002.
[46] K. Agawa, H. Hara, T. Takayanagi, and T. Kuroda, “A bitline leakage
compensation scheme for low-voltage SRAMs,” IEEE Journal of Solid-State
Circuits, vol. 36, no. 5, pp. 726-734, May 2001.
[47] R. Li, N. Ban, B. Lv, and J. Zhu, “Bitline leakage current compensation circuit
for high-performance SRAM design,” in Proc. IEEE Inter. Conf. on Networking,
Architecture and Storage, pp. 28-30, Jun. 2012.
[48] D. Kim, G. Chen, M. Fojtik, M. Seok, D. Blaauw, and D. Sylvester, “A 1.85
fW/bit ultra low leakage 10T SRAM with speed compensation scheme,” in
Proc. IEEE Inter. Symp. on Circuits and Systems, pp. 69-72, May 2011.
79
[49] F. Frustaci, P. Corsonello, S. Perri, and G. Cocorullo, “Techniques for leakage
energy reduction in deep submicrometer cache memories,” IEEE Trans. on Very
Large Scale Integration Systems, vol. 14, no. 11, pp. 1238- 1249, Nov. 2006.
[50] N. -C. Lien, L. -W. Chu, C. -H. Chen, H. -I. Yang, M. -H. Tu, P. -S. Kan, Y.
-J. Hu, C. -T. Chuang, S. -J. Jou, W. and Hwang. “A 40 nm 512 kb cross-point
8T pipeline SRAM with binary word-line boosting control, ripple bit-line and
adaptive data-aware write-assist,” IEEE Trans. on Circuits and Systems I:
Regular Papers, vol. 61, no. 12, pp. 3416-3425, Sep. 2014.
[51] C.-C. Wang, Y.-L. Tseng, H.-Y. Leo, and R. Hu, “A 4-Kb 500-MHz 4-T CMOS
SRAM using low-VTHN bitline drivers and high-VTHP latches,” IEEE Trans. on
Very Large Scale Integration (VLSI) Systems, vol. 12, no. 9, pp. 901-909, Sep.
2004.
[52] S. Al-Harbi and S. Gupta, “An efficient methodology for generating optimal
and uniform march tests,” in Proc. IEEE VLSI Test Symposium, pp. 231-237,
Apr. 2001.
[53] S. Yoshimoto, M. Terada, S. Okumura, T. Suzuki, S. Miyano, H. Kawaguchi,
and M. Yoshimoto, “A 40-nm 0.5-V 20.1 ￿W/MHz 8T SRAM with low-energy
disturb mitigation scheme,” in Proc. IEEE Symp. on VLSI Circuits pp. 72-73,
Jun. 2011.
[54] M. Terada, S. Yoshimoto, S. Okumura, T. Suzuki, S. Miyano, H. Kawaguchi,
and M. Yoshimoto “A 40-nm 256-kb 0.6-V operation half-select resilient 8T
SRAM with sequential writing technique enabling 367-mV VDDmin reduction,”
80
in Proc. IEEE Inter. Symp. on Quality Electronic Design, pp. 489.492, Mar.
2012.
[55] M.-H. Chang, Y.-T. Chiu, and W. Hwang, “Design and iso-area Vmin analysis
of 9T subthreshold SRAM with bit-interleaving scheme in 65-nm CMOS,” IEEE
Trans. on Circuits and Systems II: Express Briefs, vol. 59, no. 7, pp. 429-433,
July 2012.
[56] Y.-W. Chiu, Y.-H. Hu, and M.-H. Tu, “40 nm bit-interleaving 12T subthreshold
SRAM with data-aware write-assist,” IEEE Trans. on Circuits and Systems I:
Regular Papers, vol. 61, no. 9, pp. 2578-2585, Oct. 2014.
[57] N.-C. Lien, L.-W. Chu, C.-H. Chen, and H.-I. Yang, “A 40 nm 512 kb
cross-point 8 T pipeline SRAM with binary word-line boosting control, ripple
bit-line and adaptive data-aware write-assist,” IEEE Trans. on Circuits and
Systems I: Regular Papers, vol. 61, no. 12, pp. 3416-3425, Nov. 2014.
[58] C.-C. Wang, D.-S. Wang, C.-H. Liao, and S.-Y. Chen, “A leakage compensation
design for low supply voltage SRAM,” IEEE Trans. on Very Large Scale
Integration (VLSI) Systems, vol. 24, no. 5, pp. 1761-1769, Oct. 2015.
[59] Y. Yang, P. Juhyun, S.-C. Song, J. Wang, Y. Geoffrey, and S.-O. Jung,
“Single-ended 9T SRAM cell for near-threshold voltage operation with
enhanced read performance in 22-nm FinFET technology,” IEEE Trans. on
Very Large Scale Integration (VLSI) Systems, vol. 23, no. 11, pp. 2748-2752,
Nov. 2015.
電子全文 Fulltext
本電子全文僅授權使用者為學術研究之目的,進行個人非營利性質之檢索、閱讀、列印。請遵守中華民國著作權法之相關規定,切勿任意重製、散佈、改作、轉貼、播送,以免觸法。
論文使用權限 Thesis access permission:自定論文開放時間 user define
開放時間 Available:
校內 Campus: 已公開 available
校外 Off-campus: 已公開 available


紙本論文 Printed copies
紙本論文的公開資訊在102學年度以後相對較為完整。如果需要查詢101學年度以前的紙本論文公開資訊,請聯繫圖資處紙本論文服務櫃台。如有不便之處敬請見諒。
開放時間 available 已公開 available

QR Code